Photomask. Etched multilayer EUV mask fabrication for sub-60 nm pattern based on effective mirror width N E W S. Take A Look Inside:

Size: px
Start display at page:

Download "Photomask. Etched multilayer EUV mask fabrication for sub-60 nm pattern based on effective mirror width N E W S. Take A Look Inside:"

Transcription

1 Potomask BACUS Te international tecnical group of SPIE dedicated to te advancement of potomask tecnology. November 2016 Volume 32, Issue 11 Etced multilayer EUV mask fabrication for sub-60 nm pattern based on effective mirror widt Noriko Iida nee Sakurai, Kosuke Takai, and Takasi Kamo, Tosiba Corporation (Japan) Yasutaka Morikawa and Naoya Hayasi, Dai Nippon Printing Co., Ltd.(Japan) 1 Komukai Tosiba-co, Saiwai-ku, Kawasaki , Japan ABSTRACT Wit srinking pattern size, mask 3D effects are estimated to become stronger, suc as orizontal/ vertical sadowing, best focus sifts troug pitc and pattern sift troug focus. To reduce tese mask 3D effects, we ave proposed etced multilayer EUV mask structure and ave also reported on te fabrication process of etced multilayer EUV mask, in wic line and space mask patterning as been demonstrated. And by using etced multilayer EUV mask, te reduction of mask 3D effects is experimentally demonstrated. In our previous study, we ave sown etced multilayer EUV mask as enoug durability against cemical erosion in suitable cleaning process. In tis work, to meet te demands of different variation on pattern in etced multilayer mask, especially fabrication process for sub-60 nm pattern based on effective mirror widt in dark-field exposure is studied. 60 nm pillar pattern on mask is obtained using negative tone resist wit keeping resolution of line and space pattern. We also examined CD caracteristics 60 nm line and space pattern in consideration of effective mirror widt. Tis work represents tat etced multilayer EUV mask is ready for dark-field exposure of 15 nm pattern in wafer. Introduction Wit srinking pattern size at 0.33NA EUV litograpy systems, mask 3D effects are estimated to become stronger, suc as orizontal/vertical sadowing, best focus sifts troug pitc and pattern sift troug focus. [1] It was estimated tat etced multilayer EUV mask is effective in reducing mask 3D effects at 0.33NA wit litograpic simulation, and it was also experimentally demonstrated wit NXE3300 EUV litograpy system. [2] But it was also mentioned tat mask error enancement factor (MEEF) of etced multilayer EUV mask is unexpectedly larger tan conventional EUV mask. And tis implies tat effective mirror widt of etced multilayer pattern is smaller tan pysical pattern widt measured by CD-SEM. Take A Look Inside: Industry Briefs see page 6 Calendar For a list of meetings see page 7 Figure 1. Process Flow. PMJ

2 Editorial Can we win EUVL Olympics? Micael Watt, Sin-Etsu MicroSi Inc. Artur Balasinski, Cypress Semiconductor Corp. Te Olympics ave finised just a few monts ago in Rio and I enjoyed watcing many ours of great competition. A few weeks later wile on a trip to Japan and wit 14 ours to let my mind wander I began to tink; wat If we looked at te great semiconductor races for new tecnology introduction or market dominance from simple tasks to te future wit EUV manufacturing, mask and pellicle production. Te question, ow would some of tese races and callenges compare in te Olympic arena. Te introduction of EUV is one of te most talked about subjects at all semiconductor conferences and te anticipated start is similar to olding your breat before te start of te 100 meters, wen is te Usain Bolt moment tat everyone wants to see? Will it be faster tan we tougt possible, better tan before and dominate te scene for te foreseeable future? Maybe we are more like te Triatlon wit multiple tasks needing to be completed amongst fierce competition. How would te maraton compare, continually aving to look for someting to give you more energy after a very long slog in years rater tan ours. Te callenges of better power sources, mask development, pellicle design, software algoritms, uptime and availability, to name but a few. Tis must be te Decatlon of our business, continually aving to searc for more power te agility to cange direction and seer stamina to make it to te finis line. One ting really different between te Olympics and te semiconductor races is tat our disciplines do not wait for one moment of glory and, terefore, our races are by far less nerve-wrecking. Surely, we ave our dose of adrenalin, but we do not bank everyting on a performance lasting often less tan a minute in a four-year cycle. We do not train for years and years for tis one cance in a lifetime (OK, maybe two cances), to risk all tis training for a day of upset stomac or a sprained muscle wen tat critical minute arrives. We are free to make poor decisions, and even stick to tem for a long time, making a nice living all along. So, one result of tis juxtaposition of te Olympics and te semiconductor business is tat we are far more blessed. But wile people would probably never lose teir appetite to watc sports, making it a safe bet to compete in te Olympics (for tose wo are good enoug to ave tat glorious moment and ten deserve te everlasting glory), te patience to wait for te improved litograpy systems is running tin, and te fans can be soon relegated to te basement. Wen we read about 200 mm fabs staging teir comeback and te IoT products requiring more firmware tan silicon support, we know EUVL needs to urry, or it may not only not win, but even not make it to te finis line. BACUS News is publised montly by SPIE for BACUS, te international tecnical group of SPIE dedicated to te advancement of potomask tecnology. Managing Editor/Grapics Linda DeLano Advertising Melissa Farlow BACUS Tecnical Group Manager Pat Wigt 2016 BACUS Steering Committee President Paul W. Ackmann, GLOBALFOUNDRIES Inc. Vice-President Jim N. Wiley, ASML US, Inc. Secretary Larry S. Zurbrick, Keysigt Tecnologies, Inc. Newsletter Editor Artur Balasinski, Cypress Semiconductor Corp Annual Potomask Conference Cairs Peter D. Buck, Mentor Grapics Corp. Emily Gallager, IMEC International Cair Uwe F. W. Beringer, UBC Microelectronics Education Cair Frank E. Abboud, Intel Corp. Members at Large Paul C. Allen, Toppan Potomasks, Inc. Micael D. Arculetta, RAVE LLC Brian Ca, Samsung Electronics Co., Ltd. Jerry Cullins, HOYA Corp. USA Derren Dunn, IBM Corp. Tomas B. Faure, GLOBALFOUNDRIES Inc. Brian J. Grenon, Grenon Consulting Jon Haines, Micron Tecnology Inc. Naoya Hayasi, Dai Nippon Printing Co., Ltd. Bryan S. Kasprowicz, Potronics, Inc. Patrick M. Martin, Applied Materials, Inc. Sane Palmer, Nikon Researc Corp. of America Jan Hendrik Peters, Carl Zeiss SMT GmbH Mose Preil, KLA-Tencor Corp. Douglas J. Resnick, Canon Nanotecnologies, Inc. Tomas Struck, Infineon Tecnologies AG Bala Tumma, Synopsys, Inc. Micael Watt, Sin-Etsu MicroSi Inc. P.O. Box 10, Bellingam, WA USA Tel: Fax: elp@spie.org 2016 All rigts reserved.

3 Volume 32, Issue 11 Page 3 Figure 2. EUV reflectivity-based measurement. Figure 3. CD measurement form TEM image. We ave sowed cross-sectional TEM image of etced multilayer EUV mask pattern, and tere is silicon oxide film along te pattern sidewall as a passivation film. Tis is wat makes te difference between pysical pattern widt and effective mirror widt made up of Si/Mo multilayer widt. [3],[4] It is necessary to consider te effective mirror widt because our previous discussion of mask CD was based on pysical widt measured by SEM. In order to obtain te fidelity of pattern, we designed pattern based on effective mirror widt. Tat is, we apply mask bias equal to side wall film widt to writing data. We ave demonstrated dense pillar 72 nm or less collapsed using positive tone resist, but from te purpose of fabricating etced multilayer EUV mask for dark-field exposure, negative tone resist wic leads to smaller writing area is better coice. Because of smaller writing area, negative tone resist process as lower writing time and less scattering electrons, wic generate better pattern profile of resist. In tis work, we demonstrate a fabrication of 60 nm (15 nm on wafer) pattern in etced multilayer EUV mask using negative tone resist and evaluated etced multilayer EUV mask pattern based on effective mirror widt. 2. Experimental Setup and Condition 2.1 Process flow Fig.1 sows te process flow of etced multilayer mask. In order to fabricate fine multilayer pattern, ard-mask process is selected. 20-pair of Si/Mo multilayer, Ru-cap layer and ard-mask material are serially stacked on a substrate. Negative tone resist tat is cemical amplifier resist (N-CAR) in tis work on ard-mask is exposed by EB writer, and desired pattern of negative tone resist is generated after Post Exposure Bake (PEB) and pattern development process. Te pattern is transferred to ard-mask and Ru-cap layer and multilayer are serially etced using patterned ard-mask. Finally ard-mask is removed and mask cleaning process is carried out. 2.2 Measuring metods of effective mirror widt In tis work, we use two measuring metods of effective mirror widt, one is EUV reflectivity-based measurement metod and te oter is measurement from TEM image. Since TEM image is not able to measure wole mask pattern, we also us EUV reflectivity-based measurement to evaluate pattern distribution in wole mask. EUV reflectivity-based measurement is one of te model-based metod and te essences of tis metod are noted below; - Measuring EUV reflectivity of patterned area. - Fitting to simulation result. - Estimation of effective widt. EUV reflectivity is measuring metod of no patterned surface using EUV ligt generically. In tis work, multilayer lines at equal interval are patterned and EUV reflectivity of patterned area is measured. On te oter and, reflectivity simulation is carried out to obtain te relationsip between effective mirror widt and reflectivity of patterned area. By comparison of measured reflectivity and simulated relationsip, te effective mirror widt is estimated. A relationsip between estimated widt by tis metod and multilayer widt is illustrated in Fig.2. It as been sown tat estimated effective mirror widt is well matced as effective mirror widt from TEM imaging. [5] We also use TEM image to measure te effective mirror widt. Fig.3 sows our CD measurement from TEM image, and we defined tat effective mirror widt from TEM image is te average of 20 Mo layers widt. In tis TEM image, tere are gray and black layers in cross-sectional multilayer pattern. We detected gray layers is silicon and black layers is molybdenum. And tere is silicon oxide film along te pattern sidewall as a passivation film. 3. Results and Discussion 3.1 Pattern resolution results after cleaning Fig.4 sows te pattern resolution results after cleaning using positive tone resist and negative tone resist by top-view SEM observation. Blue box means pattern is resolved, and red box means pattern is not resolved perfectly. Te designed widt on mask is in te row, and eac item in te column indicates pattern categories; dense pillar, line and space, isolated line, and isolated space. Isolated line is designed tat te pattern widt ratio of line to neigboring line is 1:10. Isolated space is designed as inverted isolated line. Fig.5

4 Page 4 Volume 32, Issue 11 Figure 4. Pattern resolution results after cleaning. Figure 5. Top-view SEM images of pattern using negative tone resist after cleaning. sows top-view SEM images of pattern using negative tone resist after cleaning. 56 nm dense pillar pattern using negative tone resist is obtained wit zero mask bias even after cleaning. Tat is clear improvement in pillar pattern resolution comparing wit positive tone resist we previously evaluated keeping resolution of line and space pattern. 3.2 CD caracteristics based on effective mirror widt We examined CD caracteristics of etced multilayer EUV mask pattern in consideration of effective mirror widt. Fig.6 sows CD uniformity of etced multilayer EUV mask in wole area of 6 inc mask, and CD uniformity of p60 nm line and space in te effective mirror widt is 2.0 nm (3sigma) and effective mirror widt from EUV reflectivity is 58.2 nm. Tis CD uniformity is derived from simulation based EUV reflectivity. CD uniformity catces up te target at 2016 < 2.2 nm (from ITRS2013) also in effective mirror widt. 3.3 Etced multilayer EUV mask profile of 60 nm pattern Fig.6 sows te SEM images of 60 nm pillar pattern based on effective mirror widt. 60 nm pillar pattern is obtained witout collapse even after cleaning process. Effective mirror widt of tis 60 nm pillar pattern is 59.7 nm from TEM image. Summary 60 nm pillar pattern on mask is obtained using negative tone resist wit keeping resolution of line and space pattern. We examined CD caracteristics of etced multilayer EUV mask pattern in consideration of effective mirror widt. CD uniformity of 60 nm line and space pattern is 2.0 nm at 3sigma and mean CD of it is 58.2 nm. And mean CD of 60 nm pillar pattern is 59.7 nm. Fabrication process for sub-60 nm pattern based on effective mirror widt of etced multilayer EUV mask is ready for dark-field exposure. Acknowledgement Te autors would like to tank Tosiba colleagues; Yukiyasu Arisawa for providing simulation results of EUV reflectivity and discussions, Rikiya Taniguci for te discussion of mask metrology tools and is measurements. References [1] V. Pilipsen et al., Actinic caracterization and modeling of te EUV mask stack, Proc. SPIE 8886, 8860B (2013). [2] L.V. Look et al., Alternative EUV mask tecnology to compensate mask 3D effects, Proc. SPIE 9658, 96580I (2015). [3] K. Takai et al., Patterning of EUVL binary etced multilayer mask, Proc. SPIE 8880, 88802M (2013). [4] K. Takai et al., Capability of etced multilayer EUV mask fabrication, Proc. SPIE 9235, (2014). [5] K. Takai et al., Process capability of etced multilayer EUV mask, Proc. SPIE 9635, 96351C (2015).

5 Volume 32, Issue 11 Page 5 Figure 6. CD uniformity of p 60nm line and space. Figure 7. SEM images of 60nm pillar patter.

6 Page 6 Volume 32, Issue 11 Industry Briefs Sponsorsip Opportunities Sign up now for te best sponsorsip opportunities Advanced Litograpy 2017 Contact: Teresa Roles-Meier, Tel: ; teresar@spie.org Potomask 2017 Contact: Melissa Farlow, Tel: ; melissaf@spie.org Advertise in te BACUS News! Te BACUS Newsletter is te premier publication serving te potomask industry. For information on ow to advertise, contact: Melissa Farlow, Tel: melissaf@spie.org BACUS Corporate Members Acupase Inc. American Coating Tecnologies LLC AMETEK Precitec, Inc. Berliner Glas KGaA Herbert Kubatz GmbH & Co. FUJIFILM Electronic Materials U.S.A., Inc. Gudeng Precision Industrial Co., Ltd. Halocarbon Products HamaTec APE GmbH & Co. KG Hitaci Hig Tecnologies America, Inc. JEOL USA Inc. Mentor Grapics Corp. Molecular Imprints, Inc. Panavision Federal Systems, LLC Profilocolore Srl Rayteon ELCAN Optical Tecnologies XYALIS 200mm Fabs on te Rise Solid State Tecnology One year after te debut of te industry s first 200mm Fab Outlook report, SEMI as issued an October 2016 update, wit te report forecasting 200mm fab trends out to SEMI s analysts updated information on almost 200 facilities, including new and closures of existing facilities. Te igest level of 200mm capacity was recorded in 2007 and te lowest following tis peak in Te capacity decline was driven by te 2008/2009 global financial crisis, wic caused te closure of many facilities, and te transition of memory and MPU fabrication to 300mm fabs. Since 2009, installed 200mm fab capacity as increased, and by 2020, 200mm capacity is expected to reac 5.5 million wafers per mont (wpm), toug still less tan te 2007 peak. According to SEMI s data, by 2019, installed capacity will reac close to 5.38 million wpm, almost as ig as capacity in From 2015 to 2020, 200mm facilities are forecast to add 618,000 wpm net capacity. Two applications account for te growing demand for 200mm: mobile devices and IoT. Rising fab capacity from 2015 to 2020 will be driven by MEMS devices, Power, Foundry and Analog. By region, te greatest increases in capacity are expected to be in Cina, Souteast Asia, Americas, and Taiwan. Anoter trend is also observed: 200mm fabs are increasing te capacity to provide process capability below 120nm. Higer capacity does not mean more fabs, but fewer, larger fabs. In fact, te number of fabs in 2020 is almost te same as te count seen in So 2020 capacity eads toward industry igs wile in comparison 2009 ad te lowest levels off te 2007 peak. ttp://electroiq.com/ EUV Litograpy A Double Wammy For Intel Corporation Stock Piyus Arora Wat Is EUV Litograpy? We ave seen several node srinks over te past decade, were eac iteration delivered promising performance, efficiency and power gains. But we ave arrived at a point were srinking nodes furter, beyond 10nm, requires sizable investments, wit little performance gains to sow for. So it s just not feasible anymore to keep up wit node srinks if cips are manufactured te conventional way. Speculative reports suggest tat cips manufactured using EUV litograpy are up to 100 times faster tan teir conventional counterparts. Weter tis claim is true, still remains to be seen. But te next-gen EUV litograpy won t defy te laws of pysics. Te concerning ting for Intel is tat te cipzilla was earlier oping to manufacture 10nm cips using EUV litograpy next year. None of its competitors ad suc an aggressive timeline. But Intel is now looking to deploy EUV litograpy at te 7nm node. Samsung, Taiwan Semiconductor and GlobalFoundries plan to introduce EUV litograpy along te same timeline as well. And witout te EUV advantage, Intel would pretty muc be at par wit its competitors in terms of cip fabrication tecnologies. Its manufacturing lead would srink to noting. Deploying EUV litograpy is an expensive activity, wit eac alpa tool costing as muc as $100 million. Intel is believed to ave already spent $1 billion as of April last year on tese tools. Intel ramped up tese purcases in te ope of deploying te next-gen tecnology commercially next year. Wit te delay of 2 years, Intel would not be generating any returns out of its expensive equipment. It s non-cas depreciation expenses would continue to pile up over te next 2 years, causing a drag on its profitability, witout seeing a single dollar in revenue. So tis could particularly urt Intel s bottom-line. Secondly, wit Intel s manufacturing lead srinking over te next few years, ARM-based server offerings could pick up steam. Qualcomm, AMD and Cavium would get access to same EUV litograpy, at te same nodes, by 2019, wic could teoretically bring te performance of teir cips close to Intel Xeons. So Intel may struggle to curb te growt of ARM-based offerings in te server space, leading to a loss of te revenue and profits. Putting it all togeter Intel as delayed te deployment of a tecnology tat would ave potentially aided in retaining its manufacturing lead. Now, multiple companies will ave similar cip fabrication tecnologies by Tis would be bad for Intel, bot operationally and financially. It needs to get its ouse in order if it wants to curb te growt of its competition. ttp://amigobulls.com/

7 Volume 32, Issue 11 Page 7 About te BACUS Group Join te premier professional organization for mask makers and mask users! Founded in 1980 by a group of crome blank users wanting a single voice to interact wit suppliers, BACUS as grown to become te largest and most widely known forum for te excange of tecnical information of interest to potomask and reticle makers. BACUS joined SPIE in January of 1991 to expand te excange of information wit mask makers around te world. Te group sponsors an informative montly meeting and newsletter, BACUS News. Te BACUS annual Potomask Tecnology Symposium covers potomask tecnology, potomask processes, litograpy, materials and resists, pase sift masks, inspection and repair, metrology, and quality and manufacturing management. Individual Membersip Benefits include: Subscription to BACUS News (montly) Eligibility to old office on BACUS Steering Committee Corporate Membersip Benefits include: 3-10 Voting Members in te SPIE General Membersip, depending on tier level Subscription to BACUS News (montly) One online SPIE Journal Subscription Listed as a Corporate Member in te BACUS Montly Newsletter C a l e n d a r 2017 SPIE Advanced Litograpy 26 February-2 Marc 2017 San Jose Marriott and San Jose Convention Center San Jose, California, USA SPIE Potomask Tecnology and SPIE International Conference on Extreme Ultraviolet Litograpy September 2017 Monterey, California, USA Te 24t Symposium on Potomask and NGL Mask Tecnology 5-7 April 2017 Pacifico Yokoama Yokoama, Japan Te 33rd European Mask and Litograpy Conference EMLC June 2017 Hilton Hotel Dresden, Germany SPIE is te international society for optics and potonics, an educational not-for-profit organization founded in 1955 to advance ligt-based science and tecnology. Te Society serves nearly 264,000 constituents from approximately 166 countries, offering conferences and teir publised proceedings, continuing education, books, journals, and te SPIE Digital Library in support of interdisciplinary information excange, professional networking, and patent precedent. SPIE provided more tan $5.2 million in support of education and outreac programs in International Headquarters P.O. Box 10, Bellingam, WA USA Tel: Fax: elp@spie.org Sipping Address t St., Bellingam, WA USA Managed by SPIE Europe 2 Alexandra Gate, Ffordd Pengam, Cardiff, CF24 2SA, UK Tel: Fax: spieeurope@spieeurope.org You are invited to submit events of interest for tis calendar. Please send to lindad@spie.org; alternatively, or fax to SPIE.

co-located with SPIE Scanning Microscopies

co-located with SPIE Scanning Microscopies 2014 co-located with SPIE Scanning Microscopies Location Monterey Marriott and Monterey Conference Center Monterey, California, USA Conference 16 18 September 2014 Exhibition 16 17 September 2014 Submit

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 7. Calendar For a list of meetings see page 8. September 2013 Volume 29, Issue 9

Photomask N E W S. Take A Look Inside: Industry Briefs see page 7. Calendar For a list of meetings see page 8. September 2013 Volume 29, Issue 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. September 2013 Volume 29, Issue 9 Best Oral Paper - JPM13 The Capability of High Magnification

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. February 2015 Volume 31, Issue 2

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. February 2015 Volume 31, Issue 2 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. February 2015 Volume 31, Issue 2 2 nd Place Best Poster - PM14 Study of high sensitivity

More information

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. October 2013 Volume 29, Issue 10 Best Oral Paper - JPM13 Improvement of EUVL mask structure

More information

Photomask. In-Die Registration Measurement Using Novel Model-Based Approach for Advanced Technology Masks N E W S. Take A Look Inside:

Photomask. In-Die Registration Measurement Using Novel Model-Based Approach for Advanced Technology Masks N E W S. Take A Look Inside: Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. July 2015 Volume 31, Issue 7 Best Paper - SPIE Photomask Japan 2014 In-Die Registration Measurement

More information

Photomask. Production of EUV Mask Blanks with Low Killer Defects N E W S. Take A Look Inside: Industry Briefs see page 8

Photomask. Production of EUV Mask Blanks with Low Killer Defects N E W S. Take A Look Inside: Industry Briefs see page 8 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. july 2014 Volume 30, Issue 7 Best Student Paper - AL14 Production of EUV Mask Blanks with

More information

Photomask. Layout Decomposition and Mask Synthesis for Double and Triple Exposure With Image Reversal in a Single Photoresist Layer N E W S

Photomask. Layout Decomposition and Mask Synthesis for Double and Triple Exposure With Image Reversal in a Single Photoresist Layer N E W S Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. April 2012 Volume 28, Issue 4 Third Place Best Poster Award (PM11) Layout Decomposition and

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. April 2015 Volume 31, Issue 4

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. April 2015 Volume 31, Issue 4 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. April 2015 Volume 31, Issue 4 3 rd Place Winner for Best Oral Presentation - SPIE Photomask

More information

Contour Measuring System CONTRACER CV-1000/2000

Contour Measuring System CONTRACER CV-1000/2000 Form Measurement Contour Measuring System CONTRACER CV-1000/2000 Catalog No.E4333-218 Digital, cost-effective contour measuring instruments feature excellent portability and versatility. Digital analysis

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. November 2014 Volume 30, Issue 11

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. November 2014 Volume 30, Issue 11 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. November 2014 Volume 30, Issue 11 1 st Place Best Poster - PM14 Efficient Model-Based Dummy-Fill

More information

Unit 5 Waveguides P a g e 1

Unit 5 Waveguides P a g e 1 Unit 5 Waveguides P a g e Syllabus: Introduction, wave equation in Cartesian coordinates, Rectangular waveguide, TE, TM, TEM waves in rectangular guides, wave impedance, losses in wave guide, introduction

More information

Photomask N E W S. Take A Look Inside: Awards see page 6. Industry Briefs see page 7. october 2018 Volume 34, Issue 10. Plenary Paper - PUV18 ABSTRACT

Photomask N E W S. Take A Look Inside: Awards see page 6. Industry Briefs see page 7. october 2018 Volume 34, Issue 10. Plenary Paper - PUV18 ABSTRACT Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. october 2018 Volume 34, Issue 10 Plenary Paper - PUV18 Accelerate Lithography Improvement

More information

Analysis of Rectangular Notch Antenna for Dual-Band Operation

Analysis of Rectangular Notch Antenna for Dual-Band Operation Engineering, 00,, 9-96 doi:0.436/eng.00.0 Publised Online February 00 (ttp://www.scirp.org/journal/eng). Analysis of Rectangular Notc Antenna for Dual-Band Operation Abstract Rajes Kumar Viswakarma, Sanjay

More information

Photomask. Splendidly blended: a machine learning set up for CDU control N E W S. Take A Look Inside: Industry Briefs see page 8

Photomask. Splendidly blended: a machine learning set up for CDU control N E W S. Take A Look Inside: Industry Briefs see page 8 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. september 2017 Volume 33, Issue 9 EMLC17 Best Paper Splendidly blended: a machine learning

More information

Photomask. Minimizing Tone Reversal during 19x nm Mask Inspection N E W S. Take A Look Inside: Industry Briefs see page 8

Photomask. Minimizing Tone Reversal during 19x nm Mask Inspection N E W S. Take A Look Inside: Industry Briefs see page 8 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. August 2018 Volume 34, Issue 8 Best Paper Photomask Japan 2018 Minimizing Tone Reversal during

More information

ON TWO-PLANE BALANCING OF SYMMETRIC ROTORS

ON TWO-PLANE BALANCING OF SYMMETRIC ROTORS Proceedings of ME Turbo Expo 0 GT0 June -5, 0, openagen, Denmark GT0-6806 ON TO-PLNE BLNING OF YMMETRI ROTOR Jon J. Yu, P.D. GE Energy 63 Bently Parkway out Minden, Nevada 8943 U Pone: (775) 5-5 E-mail:

More information

DYNAMIC BEAM FORMING USING CHIRP SIGNALS

DYNAMIC BEAM FORMING USING CHIRP SIGNALS BeBeC-018-D04 DYNAMIC BEAM FORMING USING CHIRP SIGNALS Stuart Bradley 1, Lily Panton 1 and Matew Legg 1 Pysics Department, University of Auckland 38 Princes Street, 1010, Auckland, New Zealand Scool of

More information

Design of Electrical Parameter Measurement System for Three Phase AC Motor Based on STM32

Design of Electrical Parameter Measurement System for Three Phase AC Motor Based on STM32 Sensors & Transducers 2014 by IFSA Publising, S. L. ttp://www.sensorsportal.com Design of Electrical Parameter Measurement System for Tree Pase AC Motor Based on STM32 Haiong Xiao, Jiming Luo, Scool of

More information

ANALYSIS OF HARMONIC DISTORTION LEVELS ON A DISTRIBUTION NETWORK

ANALYSIS OF HARMONIC DISTORTION LEVELS ON A DISTRIBUTION NETWORK Presented in AUPEC 7, Pert, Western Australia, 9- December, 7 ANALYSIS OF HARMONIC DISTORTION LEVELS ON A DISTRIBUTION NETWORK Glenn Nicolson - Manukau Institute of Tecnology, Auckland, New Zealand Professor

More information

Photomask. Evaluation of the Accuracy of Complex Illuminator Designs N E W S. Take A Look Inside: Industry Briefs see page 9

Photomask. Evaluation of the Accuracy of Complex Illuminator Designs N E W S. Take A Look Inside: Industry Briefs see page 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. December 2011 Volume 27, Issue 12 First Place Best Oral Paper PM11 8166-20 Evaluation of

More information

DAC at 50: The Second 25 Years

DAC at 50: The Second 25 Years 50 Years of DAC: Wat Lies Aead DAC at 50: Te Second 25 Years Rob A. Rutenbar University of Illinois at Urbana-Campaign Editor s notes: Tis paper is based on an invited talk presented at te 50t DAC. It

More information

Estimation of Dielectric Constant for Various Standard Materials using Microstrip Ring Resonator

Estimation of Dielectric Constant for Various Standard Materials using Microstrip Ring Resonator Journal of Science and Tecnology, Vol. 9 No. 3 (017) p. 55-59 Estimation of Dielectric Constant for Various Standard Materials using Microstrip Ring Resonator Pek Jin Low 1, Famiruddin Esa 1*, Kok Yeow

More information

Contour Measuring System CONTRACER CV-1000/2000

Contour Measuring System CONTRACER CV-1000/2000 Form Measurement Contour Measuring System CONTRACER CV-1000/2000 Bulletin No. 1978 Digital, cost-effective contour measuring instruments feature excellent portability and versatility. Digital analysis

More information

PHOTOMASK. E-beam induced EUV photomask repair a perfect match N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 8

PHOTOMASK. E-beam induced EUV photomask repair a perfect match N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 8 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MAY 2010 VOLUME 26, ISSUE 5 EMCL10 Best Paper E-beam induced EUV photomask repair a perfect

More information

Image Reconstruction Based On Bayer And Implementation On FPGA Sun Chen 1, a, Duan Xiaofeng 2, b and Wu Qijing 3, c

Image Reconstruction Based On Bayer And Implementation On FPGA Sun Chen 1, a, Duan Xiaofeng 2, b and Wu Qijing 3, c 2nd International Worksop on Materials Engineering and Computer Sciences (IWMECS 2015) Image Reconstruction Based On Bayer And Implementation On FPGA Sun Cen 1, a, Duan Xiaofeng 2, b and Wu Qijing 3, c

More information

PHOTOMASK. EUV mask defect mitigation through pattern placement N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS see page 6

PHOTOMASK. EUV mask defect mitigation through pattern placement N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS see page 6 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. OCTOBER 2010 VOLUME 26, ISSUE 10 Second Place Best Poster EUV mask defect mitigation through

More information

Indirect Measurement

Indirect Measurement exploration Georgia Performance Standards M6G1.c, M6A2.c, M6A2.g Te eigts of very tall structures can be measured indirectly using similar figures and proportions. Tis metod is called indirect measurement.

More information

A Guide for the Assessment and Mitigation of Bleed, Gloss Change, and Mold in Inkjet Prints During High-humidity Conditions

A Guide for the Assessment and Mitigation of Bleed, Gloss Change, and Mold in Inkjet Prints During High-humidity Conditions A Guide for te Assessment and Mitigation of Bleed, Gloss Cange, and Mold in Inkjet Prints During Hig-umidity Conditions Jennifer Burger; University of Rocester and Daniel Burge; Image Permanence Institute,

More information

Photomask. Improved Ru/Si multilayer reflective coatings for advanced extreme ultraviolet lithography photomasks N E W S. Take A Look Inside:

Photomask. Improved Ru/Si multilayer reflective coatings for advanced extreme ultraviolet lithography photomasks N E W S. Take A Look Inside: Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. June 2016 Volume 32, Issue 6 Improved Ru/Si multilayer reflective coatings for advanced extreme

More information

Power Quality Issues, Problems and Related Standards Avinash Panwar1,ASSISTANT PROFESSOR, MADHAV UNIVERSITY ABU ROAD INDIA

Power Quality Issues, Problems and Related Standards Avinash Panwar1,ASSISTANT PROFESSOR, MADHAV UNIVERSITY ABU ROAD INDIA Power Quality Issues, Problems and Related Standards Avinas Panwar1,ASSISTANT PROFESSOR, MADHAV UNIVERSITY ABU ROAD INDIA 1 apanwar84@gmail.com, Summary: Te growt in power electronics as impacted many

More information

CAPACITY OF MULTIPLE ACCESS CHANNELS WITH CORRELATED JAMMING

CAPACITY OF MULTIPLE ACCESS CHANNELS WITH CORRELATED JAMMING CAPACITY OF MULTIPLE ACCESS CHANNELS WITH CORRELATED JAMMING Sabnam Safiee and Sennur Ulukus Department of Electrical and Computer Engineering University of Maryland College Park, MD ABSTRACT We investigate

More information

LINEAR IRRADIATION TYPE UV-LED UNIT. Concentration of optical technology

LINEAR IRRADIATION TYPE UV-LED UNIT. Concentration of optical technology LINEAR IRRADIATION TYPE U-LED UNIT Concentration of optical tecnology LINEAR IRRADIATION TYPE U-LED UNIT Offering U-LED ligt sources wit a cluster of potonics tecnology Te LC-L5G U-LED ligt sources ave

More information

5.3 Sum and Difference Identities

5.3 Sum and Difference Identities SECTION 5.3 Sum and Difference Identities 21 5.3 Sum and Difference Identities Wat you ll learn about Cosine of a Difference Cosine of a Sum Sine of a Difference or Sum Tangent of a Difference or Sum Verifying

More information

Calculation of Antenna Pattern Influence on Radiated Emission Measurement Uncertainty

Calculation of Antenna Pattern Influence on Radiated Emission Measurement Uncertainty Calculation of Antenna Pattern Influence on Radiated Emission Measurement Uncertainty Alexander Kriz Business Unit RF-Engineering Austrian Researc Centers GmbH - ARC A-444 Seibersdorf, Austria alexander.kriz@arcs.ac.at

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Image Feature Extraction and Recognition of Abstractionism and Realism Style of Indonesian Paintings

Image Feature Extraction and Recognition of Abstractionism and Realism Style of Indonesian Paintings Image Feature Extraction and Recognition of Abstractionism and Realism Style of Indonesian Paintings Tieta Antaresti R P and Aniati Murni Arymurty Faculty of Computer Science University of Indonesia Depok

More information

Lecture-3 Amplitude Modulation: Single Side Band (SSB) Modulation

Lecture-3 Amplitude Modulation: Single Side Band (SSB) Modulation Lecture-3 Amplitude Modulation: Single Side Band (SSB) Modulation 3.0 Introduction. 3.1 Baseband Signal SSB Modulation. 3.1.1 Frequency Domain Description. 3.1. Time Domain Description. 3. Single Tone

More information

PHOTOMASK N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9. CALENDAR For a list of meetings see page 10

PHOTOMASK N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9. CALENDAR For a list of meetings see page 10 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. NOVEMBER 2008 VOLUME 24, ISSUE 11 Wafer Plane Inspection Evaluated for Photomask Production

More information

Channel Estimation Filter Using Sinc-Interpolation for UTRA FDD Downlink

Channel Estimation Filter Using Sinc-Interpolation for UTRA FDD Downlink { Cannel Estimation Filter Using Sinc-Interpolation for UTA FDD Downlink KLAUS KNOCHE, JÜGEN INAS and KAL-DIK KAMMEYE Department of Communications Engineering, FB- University of Bremen P.O. Box 33 4 4,

More information

IMAGE ILLUMINATION (4F 2 OR 4F 2 +1?)

IMAGE ILLUMINATION (4F 2 OR 4F 2 +1?) IMAGE ILLUMINATION ( OR +?) BACKGROUND Publications abound wit two differing expressions for calculating image illumination, te amount of radiation tat transfers from an object troug an optical system

More information

School of Electrical and Computer Engineering, Cornell University. ECE 303: Electromagnetic Fields and Waves. Fall 2007

School of Electrical and Computer Engineering, Cornell University. ECE 303: Electromagnetic Fields and Waves. Fall 2007 Scool of Electrical and Computer Engineering, Cornell University ECE 303: Electromagnetic Fields and Waves Fall 007 Homework 11 Due on Nov. 9, 007 by 5:00 PM Reading Assignments: i) Review te lecture notes.

More information

Methodology To Analyze Driver Decision Environment During Signal Change Intervals: Application of Fuzzy Set Theory

Methodology To Analyze Driver Decision Environment During Signal Change Intervals: Application of Fuzzy Set Theory TRANSPORTATON RESEARCH RECORD 1368 49 Metodology To Analyze Driver Decision Environment During Signal Cange ntervals: Application of Fuzzy Set Teory SHNYA KKUCH AND JEFFREY R. REGNER During a signal cange

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. July 2011 Volume 27, Issue 7 Invited Paper 7985-4 NGL Masks: Development Status and Issue

More information

Photomask. Mask Tuning for Process Window Improvement N E W S. Take A Look Inside: Industry Briefs see page 9

Photomask. Mask Tuning for Process Window Improvement N E W S. Take A Look Inside: Industry Briefs see page 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. October 2011 Volume 27, Issue 10 EMLC 2011 Invited Paper 7985-19 Mask Tuning for Process

More information

Photomask. Novel EUV mask black border suppressing EUV and DUV OOB light reflection N E W S. Take A Look Inside: Industry Briefs see page 9

Photomask. Novel EUV mask black border suppressing EUV and DUV OOB light reflection N E W S. Take A Look Inside: Industry Briefs see page 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. October 2016 Volume 32, Issue 10 Photomask Japan 2016 Novel EUV mask black border suppressing

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

ON THE IMPACT OF RESIDUAL CFO IN UL MU-MIMO

ON THE IMPACT OF RESIDUAL CFO IN UL MU-MIMO ON THE IMPACT O RESIDUAL CO IN UL MU-MIMO eng Jiang, Ron Porat, and Tu Nguyen WLAN Group of Broadcom Corporation, San Diego, CA, USA {fjiang, rporat, tun}@broadcom.com ABSTRACT Uplink multiuser MIMO (UL

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Grade 10 Mathematics: Question Paper 2

Grade 10 Mathematics: Question Paper 2 Matematics(NSC)/Grade 10/ P2 6 Exemplar Grade 10 Matematics: Question Paper 2 MRKS: 100 TIME: 2 ours QUESTION 1 1.1 Give te co-coordinates of, te new co-ordinates ofte point (-2; 5) if: 1.1.1 It is reflected

More information

FINAL REPORT FOR NCHRP 20-7 (364)

FINAL REPORT FOR NCHRP 20-7 (364) FINAL REPORT FOR NCHRP 20-7 (364) Revisions of AASHTO PP 74 Test Metod for Optical sizing and Sape Determination of Glass Beads Utilized in Traffic Marings August 2017 TRANSPORTATION RESEARCH BOARD NAS-NRC

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Published in: Proceedings of 8th Annual IEEE Energy Conversion Congress & Exposition (ECCE 2016)

Published in: Proceedings of 8th Annual IEEE Energy Conversion Congress & Exposition (ECCE 2016) Aalborg Universitet A Multi-Pulse Front-End Rectifier System wit Electronic Pase-Sifting for Harmonic Mitigation in Motor Drive Applications Zare, Firuz; Davari, Pooya; Blaabjerg, Frede Publised in: Proceedings

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

The investment casting process can produce

The investment casting process can produce T E C H N I C L U P T E esigning for Investment Castings Te investment casting process can prouce almost any sape from almost any alloy. s wit all processes, owever, esigning for te process can lower cost

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

On the relation between radiated and conducted RF emission tests

On the relation between radiated and conducted RF emission tests Presented at te 3 t International Zuric Symposium on Electromagnetic Compatibility, February 999. On te relation between radiated and conducted RF emission tests S. B. Worm Pilips Researc Eindoven, te

More information

Compatibility and Safety Volume for Electromagnetic Exposure Limits in Shared Sites for 2G and 3G Wireless Communications

Compatibility and Safety Volume for Electromagnetic Exposure Limits in Shared Sites for 2G and 3G Wireless Communications Compatibility and Safety Volume for Electromagnetic Exposure imits in Sared Sites for G and 3G Wireless Communications Rogelio Jiménez Jiménez*, Diego Ortega abajos*, Florentino Jiménez **, Rafael Herradón**

More information

Abstract 1. INTRODUCTION

Abstract 1. INTRODUCTION Allocating armonic emission to MV customers in long feeder systems V.J. Gosbell and D. Robinson Integral nergy Power Quality Centre University of Wollongong Abstract Previous work as attempted to find

More information

3D Hole Inspection Using Lens with High Field Curvature

3D Hole Inspection Using Lens with High Field Curvature 10.1515/msr-2015-0008 MEASUREMENT SCIENCE REVIEW, Volume 15, No. 1, 2015 3D Hole Inspection Using Lens wit Hig Field Curvature Petr Zavyalov Tecnological Design Institute of Scientific Instrument Engineering,

More information

PHOTOMASK. Mask Inspection Placement Maps for Improving Overlay N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 9

PHOTOMASK. Mask Inspection Placement Maps for Improving Overlay N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 9 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MARCH 2010 VOLUME 26, ISSUE 3 Second Place Best Poster Award Mask Inspection Placement Maps

More information

Photomask. Characterization of a New Polarity Switching Negative Tone E-beam Resist for 14 nm and 10 nm Logic Node Mask Fabrication and Beyond N E W S

Photomask. Characterization of a New Polarity Switching Negative Tone E-beam Resist for 14 nm and 10 nm Logic Node Mask Fabrication and Beyond N E W S Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MARCH 2015 Volume 31, Issue 3 2 nd Place Best Paper - PM14 Characterization of a New Polarity

More information

I ve downloaded the app, now where do I tap?

I ve downloaded the app, now where do I tap? I ve downloaded te app, now were do I tap? Great question! And, luckily for you, tis guide was designed to answer just tat. So, weter tis is your first-ever Sonic Boom login, or you re a primed pro looking

More information

Photomask October. Photomask. A study of closed-loop application for logic patterning Awards N E W S. Take A Look Inside:

Photomask October. Photomask. A study of closed-loop application for logic patterning Awards N E W S. Take A Look Inside: Photomask October BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. 2012 Volume 28, Issue 10 Photomask Japan 2012 Best Oral Paper Award A study of closed-loop

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Francesc Casanellas C. Sant Ramon, Aiguafreda - Spain NATURAL PERSPECTIVE

Francesc Casanellas C. Sant Ramon, Aiguafreda - Spain NATURAL PERSPECTIVE Francesc Casanellas C. Sant Ramon, 5 08591 Aiguafreda - Spain +34 677 00 00 00 francesc@casanellas.com - www.casanellas.com NATURAL PERSPECTIVE Introduction Te first studies on perspective were made in

More information

Jurnal Teknologi HYBRID ACTIVE POWER FILTER METHOD IN FREQUENCY DOMAIN FOR QUALITY IMPROVEMENT IN VARIABLE FREQUENCY DRIVE APPLICATIONS.

Jurnal Teknologi HYBRID ACTIVE POWER FILTER METHOD IN FREQUENCY DOMAIN FOR QUALITY IMPROVEMENT IN VARIABLE FREQUENCY DRIVE APPLICATIONS. Jurnal Tenologi HYBRID ACTIVE POWER FILTER METHOD IN FREQUENCY DOMAIN FOR QUALITY IMPROVEMENT IN VARIABLE FREQUENCY DRIVE APPLICATIONS Min Hoang Hac Le, Kim-An Nguyen 2*, Viet Hung Ngo 3 R&D project, PowerMore

More information

Total Dose Effects on Microelectromechanical Systems (MEMS): Accelerometers*

Total Dose Effects on Microelectromechanical Systems (MEMS): Accelerometers* EEE TRANSACTONS ON NUCLEAR SCENCE, VOL. 43, NO. 6, DECEMBER 1996 3127 Total Dose Effects on Microelectromecanical Systems (MEMS): Accelerometers* C.. Lee, A. H. Jonston, W. C. Tang, and C. E. Bames Jet

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Cooperative Request-answer Schemes for Mobile Receivers in OFDM Systems

Cooperative Request-answer Schemes for Mobile Receivers in OFDM Systems Cooperative Request-answer Scemes for Mobile Receivers in OFDM Systems Y. Samayoa, J. Ostermann Institut für Informationsverarbeitung Gottfried Wilelm Leibniz Universität Hannover 30167 Hannover, Germany

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Energy Savings with an Energy Star Compliant Harmonic Mitigating Transformer

Energy Savings with an Energy Star Compliant Harmonic Mitigating Transformer Energy Savings wit an Energy Star Compliant Harmonic Mitigating Transformer Tony Hoevenaars, P.Eng, Vice President Mirus International Inc. Te United States Environmental Protection Agency s Energy Star

More information

Welcome to the world of professional chairs. lab black economy

Welcome to the world of professional chairs. lab black economy Welcome to te world of professional cairs lab black economy lab Modular System Out of 12 elements you can create 84* individualized cairs to fit your strict demands. Tanks to te modular composing system

More information

Polyphase Filter Approach for High Performance, FPGA-Based Quadrature Demodulation

Polyphase Filter Approach for High Performance, FPGA-Based Quadrature Demodulation Polypase Filter Approac for Hig Performance, FPGA-Based Quadrature Demodulation J.M.P. Langlois 1, D. Al-Kalili 1, R.J. Inkol 1 Department of Electrical and Computer Engineering, Royal Military College

More information

Genetic Algorithm for Wireless Sensor Network With Localization Based Techniques

Genetic Algorithm for Wireless Sensor Network With Localization Based Techniques International Journal of Scientific and Researc Publications, Volume, Issue 9, September 201 1 Genetic Algoritm for Wireless Sensor Network Wit Localization Based Tecniques * Kapil Uraiya, ** Dilip Kumar

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

PHOTOMASK. Mask Industry Assessment: 2007 Gilbert Shelden, Shelden Consulting, Patricia Marmillion, SEMATECH/IBM Corp., and Greg Hughes, SEMATECH

PHOTOMASK. Mask Industry Assessment: 2007 Gilbert Shelden, Shelden Consulting, Patricia Marmillion, SEMATECH/IBM Corp., and Greg Hughes, SEMATECH PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. OCTOBER 2007 VOLUME 23, ISSUE 10 Mask Industry Assessment: 2007 Gilbert Shelden, Shelden

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Loading transformers with non sinusoidal currents

Loading transformers with non sinusoidal currents LES00070-ZB rev. Loading transformers wit non sinusoidal currents K Factor Loading transformers wit non sinusoidal currents... Interpretation / example... 6 Copyrigt 007 ABB, All rigts reserved. LES00070-ZB

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

This study concerns the use of machine learning based

This study concerns the use of machine learning based Modern AI for games: RoboCode Jon Lau Nielsen (jlni@itu.dk), Benjamin Fedder Jensen (bfje@itu.dk) Abstract Te study concerns te use of neuroevolution, neural networks and reinforcement learning in te creation

More information

Performance Analysis for LTE Wireless Communication

Performance Analysis for LTE Wireless Communication IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Performance Analysis for LTE Wireless Communication To cite tis article: S Tolat and T C Tiong 2015 IOP Conf. Ser.: Mater. Sci.

More information

Binary Search Tree (Part 2 The AVL-tree)

Binary Search Tree (Part 2 The AVL-tree) Yufei Tao ITEE University of Queensland We ave already learned a static version of te BST. In tis lecture, we will make te structure dynamic, namely, allowing it to support updates (i.e., insertions and

More information

Machine Vision System for Automatic Weeding Strategy in Oil Palm Plantation using Image Filtering Technique

Machine Vision System for Automatic Weeding Strategy in Oil Palm Plantation using Image Filtering Technique Macine Vision System for Automatic Weeding Strategy in Oil Palm Plantation using Image Filtering Tecnique Kamarul Hawari Gazali, Mod. Marzuki Mustafa, and Aini Hussain Abstract Macine vision is an application

More information

PHOTOMASK. Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond N E W S TAKE A LOOK INSIDE:

PHOTOMASK. Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond N E W S TAKE A LOOK INSIDE: PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. NOVEMBER 2010 VOLUME 26, ISSUE 11 Best Paper Award Development and Characterization of a

More information

Evaluation Model of Microblog Information Confidence Based on BP Neural Network

Evaluation Model of Microblog Information Confidence Based on BP Neural Network Evaluation Model of Microblog Information Confidence Based on BP Neural Network Yuguang Ye Quanzou Normal University; Quanzou, 36, Cina Abstract: As te carrier of social media, microblog as become an important

More information

Center for Academic Excellence. Area and Perimeter

Center for Academic Excellence. Area and Perimeter Center for Academic Excellence Area and Perimeter Tere are many formulas for finding te area and perimeter of common geometric figures. Te figures in question are two-dimensional figures; i.e., in some

More information

OPTI-502 Optical Design and Instrumentation I John E. Greivenkamp Homework Set 5 Fall, 2018

OPTI-502 Optical Design and Instrumentation I John E. Greivenkamp Homework Set 5 Fall, 2018 Homework Set 5 all, 2018 Assigned: 9/26/18 Lecture 11 Due: 10/3/18 Lecture 13 Midterm Exam: Wednesday October 24 (Lecture 19) 5-1) Te following combination of tin lenses in air is in a telepoto configuration:

More information

Two Humanoid Simulators: Comparison and Synthesis

Two Humanoid Simulators: Comparison and Synthesis Two Humanoid Simulators: Comparison and Syntesis Nima Safii, Luis Paulo Reis, Rosaldo J. F. Rossetti Artificial Intelligence and Computer Science Laboratory Department of Informatics Engineering Faculty

More information

Branch and bound methods based tone injection schemes for PAPR reduction of DCO-OFDM visible light communications

Branch and bound methods based tone injection schemes for PAPR reduction of DCO-OFDM visible light communications Vol. 5, No. 3 Jan 07 OPTICS EXPRESS 595 Branc and bound metods based tone injection scemes for PAPR reduction of DCO-OFDM visible ligt communications YONGQIANG HEI,,JIAO LIU, WENTAO LI, XIAOCHUAN XU,3

More information

A REVIEW OF THE NEW AUSTRALIAN HARMONICS STANDARD AS/NZS

A REVIEW OF THE NEW AUSTRALIAN HARMONICS STANDARD AS/NZS A REVIEW OF THE NEW AUSTRALIAN HARMONICS STANDARD AS/NZS 61000.3.6 Abstract V. J. Gosbell 1, P. Muttik 2 and D.K. Geddey 3 1 University of Wollongong, 2 Alstom, 3 Transgrid v.gosbell@uow.edu.au Harmonics

More information

Power Quality Analysis Using An Adaptive Decomposition Structure

Power Quality Analysis Using An Adaptive Decomposition Structure Power Quality Analysis Using An Adaptive Decomposition Structure Doğan Gökan Ece 1 and Ömer Nezi Gerek 1 (1) Dept. of Electrical and Elctronics Engineering, Anadolu University, Scool of Engineering and

More information

sketch a simplified small-signal equivalent circuit of a differential amplifier

sketch a simplified small-signal equivalent circuit of a differential amplifier INTODUCTION Te large-signal analysis of te differential amplifr sowed tat, altoug te amplifr is essentially non-linear, it can be regarded as linear oer a limited operating range, tat is, for small signals.

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Comparative Study on Different Dual-Band HIS Structures

Comparative Study on Different Dual-Band HIS Structures ISSN (Print) : 232 3765 International Journal of Advanced Researc in Electrical, (An ISO 3297: 27 Certified Organization) Vol. 4, Issue 1, January 215 Comparative Study on Different Dual-Band HIS Structures

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Installation Instructions

Installation Instructions For tecnical assistance, call 1-800-849-TECH (8324) or 336-725-1331 between 8 AM & 5 PM EST Monday troug Friday (Excluding Holidays) Installation Instructions Kaba Access Control 2941 Indiana Avenue Winston-Salem,

More information