ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Size: px
Start display at page:

Download "ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004"

Transcription

1 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

2 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2

3 ASML Unit Market Share Trend 60% 12 & ArF ASML Unit Market Share 50% 40% 30% 20% 6 & early I-line 8 & I-line KrF & Step & Scan Immersion 10% 0% Source: ASML / Slide 3

4 Regional Equipment Markets 60% 50% Regional share (%) 40% 30% 20% North America Japan Europe Asia/Pacific 10% 0% Source: Gartner Dataquest, April 04 / Slide 4

5 Importance Targeted Markets 100% 90% 80% Litho share (%) 70% 60% 50% 40% 30% 20% 10% Targeted Markets Others (ex. Targeted Markets) ASML (ex. Targeted Markets) 0% Source: Gartner Dataquest/ASML / Slide 5

6 Importance of Targeted Markets 6,000 5,000 Litho sales (M$) 4,000 3,000 2,000 Targeted Markets Others (ex. Targeted Markets) ASML (ex. Targeted Markets) 1, Source: Gartner Dataquest/ASML / Slide 6

7 ASML Market Share (Excluding Targeted Markets) 80.0% 70.0% 60.0% 50.0% 40.0% 30.0% 20.0% 10.0% ASML revenue share ex. Targeted Markets 0.0% Source: Gartner Dataquest/ASML / Slide 7

8 Market Share Growth ($) p 80% 70% 60% 50% 40% 30% ASML $ market share ex Targeted Markets ASML $ market share World Wide 20% 10% 0% Source: ASML / Slide 8

9 300mm System sales 100% 90% Unit share of market (%) 80% 70% 60% 50% 40% 30% 20% 10% Targeted Markets Others (ex. Targeted Markets) ASML (ex. Targeted Markets) 0% Source: ASML / Slide 9

10 WW 300mm installs per region at known fabs 100% 90% 80% 70% 60% 50% 40% Japan ASIA USA EUR 30% 20% 10% 0% Actual Forecast Source: ASML / Slide 10

11 TWINSCAN XT:1400 EXtending TWINSCAN & ArF towards the 45 nm Node ArF >120 Shipments PAS 5500/900 Q PAS 5500/1100 Q PAS 5500/1150 Q TWINSCAN XT:1400 NEW Q TWINSCAN AT:1100B Q TWINSCAN AT:1200B Q TWINSCAN AT:850 Q TWINSCAN XT:1250D Q TWINSCAN AT:750S Q TWINSCAN AT:750 Q TWINSCAN AT:400 Q TWINSCAN >180 Shipments / Slide 11

12 TWINSCAN TM Value of Innovation Productivity Yield Imaging Overlay Maximum Good Die per Day / Slide 12

13 Value of Productivity at Fab Build Out Fewer Tools Required=More Fab Capacity, Higher Revenue ASML= 18 Competition=28 ArF KrF KrF I-Line ArF KrF KrF I-Line ArF KrF KrF I-Line ArF KrF KrF I-Line ArF KrF KrF I-Line KrF ArF KrF KrF I-Line ArF KrF KrF I-Line ArF KrF KrF I-Line ArF KrF ArF KrF KrF I-Line Reduced Building Footprint >500 m2 Reduced Building + Install Costs > $10M ArF ArF KrF KrF KrF KrF I-Line I-Line Additional Potential Capacity 1,000 wpm Additional Annual Revenue > $30M / Slide 13

14 Value of Overlay Tighter overlay provides higher yield = more good die per wafer!1nm overlay improvement = 1% Yield Improvement!1nm overlay improvement = >$1 million revenue / month! 40k wafers / month x 650 die x 0.01 x $5 Overlay Distribution Spec Limit Overlay Distribution Spec Limit Overlay (nm) Rework & Yield Loss Overlay (nm) Higher Yield & Reduced Rework / Slide 14

15 Value of Imaging Improved imaging provides higher yield = more good die per wafer!1 nm CDU improvement = > 1% Yield Improvement!1 nm CDU improvement = > $3 million revenue / month 30k wafers / month x 600 die x 0.01 x $16.50 CD Distribution CD Distribution Spec Limit Spec Limit Spec Limit Spec Limit CD (nm) Rework & Yield Loss CD (nm) Increased Yield & Reduced Rework / Slide 15

16 Lithography value on die performance yield Critical Dimension Targeting & Uniformity Determine Device Performance. Lithography is the Prime Driver for Critical Dimension Control. IC Speed Distribution Improved CD Control IC Speed Distribution + Speed (MHz) - + Speed (MHz) - More die at higher speed ($) / Slide 16

17 Top 20 CapEx Spenders 1 Samsung 4,453 2 Intel 4,000 3 TSMC 2,375 4 STMicroelectronics 2,200 5 UMC 2,000 6 Infineon 1,685 7 Micron 1,500 8 AMD 1,500 9 SMIC 1, NEC 1, IBM 1, TI 1, Sony 1, Toshiba 1, Chartered 1, Hynix Inotera Elpida Philips Powerchip 800 Top20 CapEx 33,019 Total Worldwide CapEx 44,763 Source: Gartner Dataquest, August 2004 / Slide 17

18 Asia Review Don Crabtree Vice President, ASML Asia Sales Analyst Day, September 30, 2004

19 ASML Asia Review Agenda! A Brief History of ASML in Asia! A Look At the Regions in Asia! The Future / Slide 19

20 A Brief History of ASML Asia / Slide 20

21 First System to Asia Tsinghua University, China (PAS 2000) / Slide 21

22 First New Systems to Countries Winbond, Taiwan PAS 2500 Evaluation AWA, Australia (PAS 2500) Samsung, Korea (PAS 5500) Chartered, Singapore (PAS 5500) ITI, India (PAS 2500) Siltera, Malaysia (PAS 5500) Seiko Epson, Japan (PAS 5500) / Slide 22

23 How ASML Built Its Business in Asia! ASML started by using local companies (agencies) to grow the business in each country:! Successful in Taiwan working with Hermes Epitek! ASML copied that formula elsewhere in the continent! Taiwan/SE Asia - Hermes Epitek: Sales & Service! China - Silicon International: Sales & Service! India - SIMCO: Sales & Service! Japan - Nissei Sangyo (later Hitachi High-Tech): Sales & Service! Korea - Bowoo Corp. (later Hantech): Sales & Service! As the business has changed and grown, the ASML business model has also changed so that now:! Taiwan/SE Asia - ASML Direct! China - ASML Direct! India - SIMCO: Sales & Service! Japan - Hitachi High-Tech: Sales & Contracted Service! Korea - Hantech and Haedong: Sales / Slide 23

24 How ASML Built Its Business in Asia! In 1998 ASML Established an Asia Headquarters Operation in Hong Kong! Began to establish infrastructure to support business operations including:! Sales Management! Service Management! Finance/Control! Contracts! Marketing and Marketing Communications! Established infrastructure in each country for! Sales! Customer Support! Finance! Marketing / Slide 24

25 Why Hong Kong?! ASML is one of the few semiconductor equipment companies to establish headquarters in Hong Kong! Central location! Easy flow of people, money and materials! Tax beneficial environment! Customer Neutral! Entrance visa access to China / Slide 25

26 Asia Business Continues to be a Large Share of ASML Total Business % Q Source: ASML Annual and Quarterly Reports Asia USA Europe / Slide 26

27 ASML Asia Installed Base of Systems Continues to Grow - nearly the same as USA Asia Installed Base:! Korea - 29%! Taiwan - 49%! China - 9%! SE Asia - 11%! Japan - 2% / Slide 27

28 A Look at the Regions / Slide 28

29 ASML in Taiwan, Republic Of China / Slide 29

30 ASML History in Taiwan! ASML s big break came in 1991 when it became the sole supplier of litho tools to TSMC fab 2B! ASML s success with TSMC allowed ASML to grow business with other customers in Taiwan and became recognized as a leader in the foundry market! By late 90 s, ASML s market share was consistently higher (~50%) than that of ASML market share world wide! Through its strength in Taiwan foundries, ASML became a strong presence in foundry fabs outside Taiwan / Slide 30

31 Foundry s Underlined Expectations on Exposure Tools! Time To Market is EVERYTHING -- very aggressive push for response when production is impacted! User-friendly software is needed for flexible manufacturing in a complex product mix! Intensive material handling with small batches and frequent reticle changes! Backward compatibility of new functionality ensures flexibility in production! Superior Value of Ownership in flexible manufacturing operation / Slide 31

32 Taiwan Market Outlook for the Next 5 Years! Taiwan market will remain one of the engines of revenue generation for ASML! Taiwan IC industry has healthy growth plans in both Foundry and Memory sectors! Most of the growth will be in the new 300mm fabs: Foundry is seeing the cost/technology benefit of 300mm Multiple 300mm fabs up and running by Taiwanese companies by 2006 / Slide 32

33 Potential for Growth in Taiwan forward 300mm Fabs: 8 fabs litho cells / Slide 33

34 ASML in Southeast Asia / Slide 34

35 ASML History in S.E. Asia! 1995: ASML established its presence when it was selected as the sole litho supplier to Chartered Fab 2! : ASML enjoyed tremendous growth by becoming sole supplier to 3 new 8 foundries! : Presence in Malaysia and also Australia was established! 2003: ASML won substantial orders from 2 new 300mm fabs! 2004: ASML began business with first non-foundry customer in S.E. Asia! ASML has maintained 50% market share over the years through its strength in the foundry market / Slide 35

36 Potential for Growth in S.E. Asia 2005 forward 200mm Fabs: 6 fabs - 50 litho cells 300mm Fabs: 6 fabs litho cells / Slide 36

37 ASML in Korea / Slide 37

38 Lithography Market in Korea is Unique! Very few high volume users! Leading edge technology! More steady capital investments! Small non-memory market / Slide 38

39 ASML Presence in DRAM Companies in Korea! ASML has largest market share (value) in both companies dominating KrF and ArF systems! Success has been driven by VOO and technology (cost is EVERYTHING in DRAM)! ASML has close cooperation with both companies on new technology programs! Both companies are constantly evaluating all litho suppliers capabilities / Slide 39

40 Potential for Growth in Korea 2005 forward 200mm Fabs: 6 fabs - 40 litho cells 300mm Fabs: 7 fabs litho cells / Slide 40

41 ASML in China / Slide 41

42 ASML China History! Throughout 1990s ASML was able to supply limited numbers to small, non-leading edge customers! Shanghai Belling was first major manufacturer to purchase ASML systems! Climate changed with start-up of SMIC and GSMC projects in Shanghai! New trailing edge projects will be the short-term future in China providing for significant growth! TSMC! UMC! Hynix/ST! Others / Slide 42

43 SMIC Changed the Market! Currently operating:! Fab 1-3 in Shanghai! Fab 7 recently acquired! Fab 4 300mm! Aggressive plans for future! ASML strong at all wavelengths / Slide 43

44 Potential for Growth in China 2005 forward 200mm (and below) Fabs: 20 fabs litho cells 300mm Fabs: 2 fabs - 48 litho cells / Slide 44

45 And the Final Frontier JAPAN / Slide 45

46 History of ASML in Japan! ASML together with Hitachi High-Tech have been actively marketing ASML products in Japan since 1991! First real break-through came with Seiko-Epson taking ASML for new manufacturing line in 2001! Decision to change due to VOO concepts and rapid delivery of product! Since then ASML has built upon this initial success and developed three new customers in Japan shipping:! 200mm! 300mm! KrF! ArF! I-Line! CCD systems / Slide 46

47 A New Strategy! The typical sales cycle for lithography systems in Japan is at least two years to accomplish! Consensus building throughout organization! Multiple demonstrations of ASML system capabilities! Heavy data analysis! On-site, and often head-to-head evaluations! The strategy of ASML/HHT was to win business one customer at a time and build upon this success! However, due to the sales cycle time this strategy is slow in developing! ASML recently decided to take a more aggressive sales approach in Japan by:! Significantly increasing sales and marketing staff! Cover all new accounts aggressively in parallel! Addition of support resources proactively / Slide 47

48 Office Locations = Office now (6) = Office committed (3) / Slide 48

49 New Strategy Represents a Significant Investment to Grow ASML in Japan! Investment will be in personnel growth both with ASML and HHT! New capabilities will be developed in Japan! Marketing! Technical Expertise! Infrastructure! Management! Investments will continue with local Japan suppliers! Investments will continue with evaluation systems needed to win new business / Slide 49

50 The Future / Slide 50

51 ASML Positioned For Growth in Asia! Over the last 15 years ASML has grown in market share and regional capabilities to become the leading litho supplier in Asia! Each region has room for continued growth in market! Sustaining market share in Korea! Growing new customers in Taiwan and SE Asia! Major growth will be in China and Japan! ASML will continue to add necessary management and infrastructure in Asia to support the continued growth of the semiconductor industry! ASML is willing to make the right major investments to support the growth of this business / Slide 51

52 Commitment

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise The European Semiconductor industry: 2005 Competitiveness Report DG Enterprise EU presentation, Brussels, September 1, 2005 1 EU presentation, Brussels, September 1, 2005 2 EU presentation, Brussels, September

More information

East and Southeast Asian countries experience with Patenting and technology development in the Integrated Circuits Industry

East and Southeast Asian countries experience with Patenting and technology development in the Integrated Circuits Industry East and Southeast Asian countries experience with Patenting and technology development in the Integrated Circuits Industry Rajah Rasiah (draft) Professor of Technology and Innovation Policy and Holder

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

World Semiconductor Trade Statistics. An Introduction to WSTS

World Semiconductor Trade Statistics. An Introduction to WSTS World Semiconductor Trade Statistics An Introduction to WSTS Table of Contents Contents Mission Membership Merits Meetings More Mission Statement Mission The mission of WSTS is to be the respected source

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Leadership Through Innovation Litho for the future

Leadership Through Innovation Litho for the future Leadership Through Innovation Litho for the future Deutsche Bank Access Asia Conference 2010 Singapore Craig De Young VP Investor Relations and Corporate Communications May 12, 2010 Public Safe Harbor

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

4Q02 Update: Semiconductor Capacity Still on Hold

4Q02 Update: Semiconductor Capacity Still on Hold Research Brief 4Q02 Update: Semiconductor Capacity Still on Hold Abstract: Semiconductor capacity expansions have gone into a hold mode as soft semiconductor demand drops utilization rates lower. Further

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

Chinese American Semiconductor Professional Association. David W. Wang. February 25, 2005

Chinese American Semiconductor Professional Association. David W. Wang. February 25, 2005 Chinese American Semiconductor Professional Association David W. Wang February 25, 2005 Introduction Founded in 1991. A nonprofit, membership-based professional organization focusing on global semiconductor

More information

T H O M S O N S C I E N T I F I C. World IP Today

T H O M S O N S C I E N T I F I C. World IP Today T H O M S O N S C I E N T I F I C World IP Today A Thomson Scientific Report on Global Technology Innovations from 1997-2006 In recognition of World Intellectual Property Day on April 26, 2007, Thomson

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK Factbook 2014 SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK INTRODUCTION The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it

More information

India: The Future Looks Promising

India: The Future Looks Promising India: The Future Looks Promising Research Brief Abstract: India has the potential to become a globally competitive electronics equipment and semiconductor manufacturing location. By Philip Koh Recommendations

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

CLSA Investors Forum 2017

CLSA Investors Forum 2017 CLSA Investors Forum 2017 Grand Hyatt Hong Kong Craig De Young Vice President Investor Relations September 11-15 2017 Forward looking statements Slide 2 This document contains statements relating to certain

More information

Silicon Wafer Demand Outlook: Forecast Update, 2Q03

Silicon Wafer Demand Outlook: Forecast Update, 2Q03 Research Brief Silicon Wafer Demand Outlook: Forecast Update, 2Q03 Abstract: The latest silicon wafer demand outlook predicts about 6 percent growth in 2003, nearly the same as the previous forecast. However,

More information

3Q03 Silicon Wafer Update: Demand Continues Recovery

3Q03 Silicon Wafer Update: Demand Continues Recovery Gartner Dataquest Alert 3Q03 Silicon Wafer Update: Demand Continues Recovery The latest silicon forecast indicates that silicon wafer demand will grow 10 percent in 2003 over the previous year. This is

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

1Q04 Update: Silicon Demand Will Move to a Full Recovery

1Q04 Update: Silicon Demand Will Move to a Full Recovery Gartner Dataquest Alert 1Q04 Update: Silicon Demand Will Move to a Full Recovery Our latest silicon demand forecast indicates that wafer demand in 2003 will increase 9 percent over 2002. While the forecast

More information

Executive Summary World Robotics 2018 Industrial Robots

Executive Summary World Robotics 2018 Industrial Robots Executive Summary World Robotics 2018 Industrial Robots 13 Executive Summary World Robotics 2018 Industrial Robots Robot Sales 2017: Impressive growth In 2017, robot sales increased by 30% to 381,335 units,

More information

Emerging Non-Volatile Memories Patent Landscape February 2014

Emerging Non-Volatile Memories Patent Landscape February 2014 Emerging Non-Volatile Memories Patent Landscape February 2014 IBM University of Houston IBM Integrated Magneto Electronics Macronix IBM SanDisk 2405 route des Dolines 06902 Sophia Antipolis, France www.knowmade.com

More information

Probe Year In Review

Probe Year In Review Probe Year In Review Probe Business Metrics People in Probe Mergers, Acquisitions, & JVs Probe Related News (With lots of help from the Final Test Report) Semiconductor Market $248B 2006 sales, up 9% from

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

Unlocking Unexploited Opportunities in the Chinese Foundry

Unlocking Unexploited Opportunities in the Chinese Foundry Research Brief Unlocking Unexploited Opportunities in the Chinese Foundry Abstract: China must overcome self-inflicted and politically instigated impediments to competitively strengthen its foundry s core

More information

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES

CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES 1 CHINA STRONG PROMOTION OF SEMICONDUCTOR INDUSTRY PROACTIVE APPROACH WITH POWER DEVICES Technology Studies Dept. II, Mitsui Global Strategic Studies Institute Noriyasu Ninagawa INTRODUCTION PROMOTING

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Rise and Fall of Japanese Semiconductors

Rise and Fall of Japanese Semiconductors Episode 20 Rise and Fall of Japanese Semiconductors We do not see other industries in which technology innovation is so intense, and market fluctuation is so drastic like semiconductors, do we? Caused

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

Learning objectives. Investors should leave the presentation with an ability to discuss

Learning objectives. Investors should leave the presentation with an ability to discuss Learning objectives Investors should leave the presentation with an ability to discuss changing trends in consumer spending from material items to experiences the importance of tourism for Asian markets

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

7 Hints That Every Engineer Should Know When Making Power Measurements with Oscilloscopes.

7 Hints That Every Engineer Should Know When Making Power Measurements with Oscilloscopes. 7 Hints That Every Engineer Should Know When Making Power Measurements with Oscilloscopes. Achieving maximized measurement dynamic range 1) Use averaging to increase measurement resolution 2) Use high-resolution

More information

Silicon Wafer Demand Forecast Update, 4Q03

Silicon Wafer Demand Forecast Update, 4Q03 Forecast Analysis Silicon Wafer Demand Forecast Update, 4Q03 Abstract: Silicon wafer demand in 2003 will register an 8 percent increase over 2002. Demand will enter an expansion phase in the second quarter

More information

Update: SOI Wafer Market Continues Its Growth

Update: SOI Wafer Market Continues Its Growth Gartner Dataquest Alert Update: SOI Wafer Market Continues Its Growth The results of Gartner Dataquest's latest survey of the silicon on insulator (SOI) wafer market indicate demand grew 16 percent in

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

WLP Probing Technology Opportunity and Challenge. Clark Liu

WLP Probing Technology Opportunity and Challenge. Clark Liu WLP Probing Technology Opportunity and Challenge Founded Capital PTI Group Overview : May/15/97 : USD 246 Millions PTI HQ Total Assets : USD 2.2B Employees Major Services : 11,100 (Greatek included) :

More information

Executive summary. AI is the new electricity. I can hardly imagine an industry which is not going to be transformed by AI.

Executive summary. AI is the new electricity. I can hardly imagine an industry which is not going to be transformed by AI. Executive summary Artificial intelligence (AI) is increasingly driving important developments in technology and business, from autonomous vehicles to medical diagnosis to advanced manufacturing. As AI

More information

Indicators Point to Sustainable Semiconductor Market Recovery

Indicators Point to Sustainable Semiconductor Market Recovery Gartner Dataquest Alert Indicators Point to Sustainable Semiconductor Market Recovery Positive leading indicators out of Asia/Pacific and Japan, as well as improved guidance from selected U.S. and European

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

Advancing Industry Productivity

Advancing Industry Productivity Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P Safe Harbor Statement This

More information

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006 Intel Special Edition 2006 ASML s customer magazine Moving immersion into production Intel and ASML System flexibility through enhancement packages 6 10 14 3 Editor s note images Colofon 4 The value of

More information

Credit Suisse European Technology Conference 2008

Credit Suisse European Technology Conference 2008 Credit Suisse European Technoogy Conference 2008 ASML continues to execute its eadership strategy and expects gradua order pick-up Franki D Hoore Director European Investor Reations London, 15 May 2008

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Semiconductor and Emerging Markets Industry Trends and Developments. Kai Fai, Ng President SEMI Southeast Asia

Semiconductor and Emerging Markets Industry Trends and Developments. Kai Fai, Ng President SEMI Southeast Asia Semiconductor and Emerging Markets Industry Trends and Developments Kai Fai, Ng President SEMI Southeast Asia SEMI Connects SEMI is the global not-for-profit industry association connecting & representing

More information

OECD WP 6 Workshop Paris, 27 Nov Overview of World Shipbuilding Industry. 2. Changing Structure of World Shipbuilding

OECD WP 6 Workshop Paris, 27 Nov Overview of World Shipbuilding Industry. 2. Changing Structure of World Shipbuilding OECD WP 6 Workshop Paris, 27 Nov. 2013 Contents 1. Overview of World Shipbuilding Industry 2. Changing Structure of World Shipbuilding 3. Overseas Business Operations by Korean Shipbuilders 4. Closing

More information

Power Management Semiconductors: A Preliminary Look

Power Management Semiconductors: A Preliminary Look Market Analysis Power Management Semiconductors: A Preliminary Look Abstract: As a key enabler of electronics, power management semiconductors remain fragmented. Benefit from a look into the preliminary

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

T H O M S O N S C I E N T I F I C. World IP Today

T H O M S O N S C I E N T I F I C. World IP Today T H O M S O N S C I E N T I F I C World IP Today A Thomson Scientific Report on Global Patent Activity from 1997-2006 In recognition of World Intellectual Property Day on April 26, 2007, Thomson Scientific

More information

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY A STRATEGIC PROGRAM TO PROTECT AND STRENGTHEN CORE BUSINESSES DECEMBER 2009 CONFIDENTIALITY Our clients industries are extremely competitive. The

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

SWISS SMES AND EMERGING MARKETS: THE ENABLING ROLE OF GLOBAL CITIES IN EAST ASIA?

SWISS SMES AND EMERGING MARKETS: THE ENABLING ROLE OF GLOBAL CITIES IN EAST ASIA? SCHOOL OF MANAGEMENT FRIBOURG, HES-SO, SWITZERLAND SWISS SMES AND EMERGING MARKETS: THE ENABLING ROLE OF GLOBAL CITIES IN EAST ASIA? BY PHILIPPE REGNIER, PROFESSOR, HEAD R & D HONG KONG POLYTECHNIC UNIVERSITY,

More information

Economic Model Workshop, Philadelphia

Economic Model Workshop, Philadelphia Economic Model Workshop, Philadelphia Denis Fandel, Project Manager, MM&P 1 August 2001 Meeting Guidelines Project Mission / Model Overview Early Production Test Program Fundamental Assumption Allocation

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Rump Session: Advanced Silicon Technology Foundry Access Options for DoD Research. Prof. Ken Shepard. Columbia University

Rump Session: Advanced Silicon Technology Foundry Access Options for DoD Research. Prof. Ken Shepard. Columbia University Rump Session: Advanced Silicon Technology Foundry Access Options for DoD Research Prof. Ken Shepard Columbia University The views and opinions presented by the invited speakers are their own and should

More information

Science, Technology & Innovation Policy: A Global Perspective. Dr Lauren Palmer Australian Academy of Technological Sciences & Engineering (ATSE)

Science, Technology & Innovation Policy: A Global Perspective. Dr Lauren Palmer Australian Academy of Technological Sciences & Engineering (ATSE) A presentation from the conference 9-10 Dec 2013 Science, Technology & Innovation Policy: A Global Perspective Dr Lauren Palmer Australian Academy of Technological Sciences & Engineering (ATSE) Science,

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting

A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting A Semiconductor Manufacturers Perspective on Obsolescence and Counterfeiting Peter Marston Business Development and Technical Consultant IIOM Conference June 2015 Topics Semiconductor Manufacturing - Historical

More information

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978) IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 www.icknowledge.com Ph: (978) 352 7610, Fx: (978) 352 3870 Linx Consulting, PO Box 384, Mendon, MA 01756 0384 www.linxconsulting.com Ph: (617) 273 8837

More information

DBS GROUP HOLDINGS LTD. 15 th Annual General Meeting & Extraordinary General Meeting. 28 April 2014

DBS GROUP HOLDINGS LTD. 15 th Annual General Meeting & Extraordinary General Meeting. 28 April 2014 DBS GROUP HOLDINGS LTD 15 th Annual General Meeting & Extraordinary General Meeting 28 April 2014 1 Creating Shared Value Piyush Gupta, Chief Executive Officer April 28, 2014 Disclaimer: The information

More information

PARADIGM SHIFT: THE WINNERS ARE. Dr. Jeremy Wang Asia Pacific Executive Director, GSA July 30, 2008

PARADIGM SHIFT: THE WINNERS ARE. Dr. Jeremy Wang Asia Pacific Executive Director, GSA July 30, 2008 PARADIGM SHIFT: THE WINNERS ARE Dr. Jeremy Wang Asia Pacific Executive Director, GSA July 30, 2008 GSA Mission Accelerate the growth and increase the return on invested capital of the global semiconductor

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Robert Maire President Semiconductor Advisors LLC. Semiconductor Advisors LLC

Robert Maire President Semiconductor Advisors LLC. Semiconductor Advisors LLC Robert Maire President China Investments & Aspirations in the Semiconductor Industry Regulatory issues - CFIUS Current administration policy & direction Taiwan TSMC and the semiconductor industry Trade

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

San Diego, CA, June 11 to 14, 2006

San Diego, CA, June 11 to 14, 2006 To Advance Wafer Test Technology To Serve and Inform the Wafer Test Professional To Boldly Go Where No Workshop Has Gone Before San Diego, CA, June 11 to 14, 2006 16th Annual SWTW Probe Year In Review

More information

Business Plan. Level 8, Admiralty Centre Tower II 18 Harcourt Road Admiralty, HONGKONG

Business Plan. Level 8, Admiralty Centre Tower II 18 Harcourt Road Admiralty, HONGKONG Business Plan Level 8, Admiralty Centre Tower II 18 Harcourt Road Admiralty, HONGKONG Table of Contents 1. Corporate Identity... 1 1.1 The Change of Game Market Paradigm... 1 1.2 Building the optimized

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

AT&S Company Presentation

AT&S Company Presentation Anleihe Roadshow AT&S Company Presentation September 2011 www.ats.net Disclaimer AT&S Austria Technologie & Systemtechnik Aktiengesellschaft Martin Theyer Head of Strategy Development & Communications

More information

Global PV Technology Trends for 2017: What Next after PERC?

Global PV Technology Trends for 2017: What Next after PERC? Global PV Technology Trends for 2017: What Next after PERC? Finlay Colville, Head of Market Research PV-Tech : Solar Intelligence : Solar Media Ltd 13 October 2016 Global PV Technology Trends for 2017:

More information

ICT Man Final Meeting

ICT Man Final Meeting ICT Man - Exploring the potential of ICT Components and Systems Manufacturing in Europe ICT Man Final Meeting 13/04/2011, Bruxelles CEA- LETI, Hughes Metras, Brice Tavel 1 Context of the study The ICT

More information

Agilent 8762F Coaxial Switch 75 ohm

Agilent 8762F Coaxial Switch 75 ohm Agilent 8762F Coaxial Switch 75 ohm Technical Overview DC to 4 GHz Exceptional repeatability over 1 million cycle life Excellent isolation The 8762F brings a new standard of performance to 75 ohm coaxial

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

Agilent 8761A/B Microwave Switches

Agilent 8761A/B Microwave Switches Agilent 8761A/B Microwave Switches Technical Overview Product Description The Agilent Technologies 8761A and 8761B are single-pole, double-throw coaxial switches with excellent electrical and mechanical

More information

Special Sponsorship. Supporting Organizers

Special Sponsorship. Supporting Organizers Special Sponsorship Supporting Organizers Invitation The 1st Offshore Korea will be held in Busan, the offshore and shipbuilding city in Korea, from Nov. 14 (Wed) to Nov. 16 (Fri) 2012 for 3 days under

More information

Thinking globally, acting locally: The challenge for the semiconductor industry in Europe

Thinking globally, acting locally: The challenge for the semiconductor industry in Europe Thinking globally, acting locally: The challenge for the semiconductor industry in Europe Global Insight World Economic Outlook Conference 9-10 May 2006, Milan Barbara Schaden The semiconductor industry

More information

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Rick Clemmer Media briefing in China Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Today s agenda NXP update Share our vision Zoom in China 2 NXP Semiconductors NXP Semiconductors

More information

Corporate Mind 2016 Corporate Responsibility Report

Corporate Mind 2016 Corporate Responsibility Report Corporate Mind 2016 Corporate Responsibility Report Promega uses an image of an animal cell to represent corporate organization because the cell represents non-hierarchical, interdependent structure. Corporate

More information

Keysight 8762F Coaxial Switch 75 ohm

Keysight 8762F Coaxial Switch 75 ohm Keysight 8762F Coaxial Switch 75 ohm Technical Overview DC to 4 GHz Exceptional repeatability over 1 million cycle life Excellent isolation The 8762F brings a new standard of performance to 75 ohm coaxial

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Flip-Chip Bumping Services: Driving Value-Added Businesses

Flip-Chip Bumping Services: Driving Value-Added Businesses Research Brief Flip-Chip Bumping Services: Driving Value-Added Businesses Abstract: Wafer-bumping services are diversifying their forms with the evolution of flip-chip packaging technology. By Masao Kuniba

More information

Department of the Navy Perspective on Obsolescence Management

Department of the Navy Perspective on Obsolescence Management Delayed Double Viper BUBs2D5.5 t = 0.0300 t = 0.0502 Department of the Navy Perspective on Obsolescence Management t = 0.1001 t = 0.2005 Nick Kunesh Deputy Assistant Secretary of the Navy (Logistics) 2006

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Europe's Standard Shows Way Forward for Private Mobile Radio

Europe's Standard Shows Way Forward for Private Mobile Radio Research Brief Europe's Standard Shows Way Forward for Private Mobile Radio Abstract: Terrestrial Trunked Radio (TETRA) was developed as a standard for the radio networks of emergency services. Improved

More information

Techniques to Achieve Oscilloscope Bandwidths of Greater Than 16 GHz

Techniques to Achieve Oscilloscope Bandwidths of Greater Than 16 GHz Techniques to Achieve Oscilloscope Bandwidths of Greater Than 16 GHz Application Note Infiniium s 32 GHz of bandwidth versus techniques other vendors use to achieve greater than 16 GHz Banner specifications

More information

V I S I O N South Wolf Road, Wheeling, IL

V I S I O N South Wolf Road, Wheeling, IL VISION 2018 PROTECT-A-BED 2000 to 2018 and beyond! In the year 2000 Protect-A-Bed established a brand new product concept in the United States: MATTRESS PROTECTION! PROTECT - A - BED VISION 2018 1 Where

More information

Finnish STI Policy

Finnish STI Policy Finnish STI Policy 2011 2015 2015 INNOVATION BRIDGES Nordic Slovak Innovation Forum October 26, Bratislava Ilkka Turunen Secretary General Research and Innovation Council of Finland Finland is one of the

More information