Toward 5nm node ; Untoward Scaling with Multi-patterning

Size: px
Start display at page:

Download "Toward 5nm node ; Untoward Scaling with Multi-patterning"

Transcription

1 1 st International Symposium on DSA Toward 5nm node ; Untoward Scaling with Multi-patterning 27 th OCT 2015 H. Yaegashi Chief Engineer Tokyo Electron Limited

2 Down-caling trend towards N5 N20 N14 N10 N7 N5 H. Yaegashi Leuven BE

3 Opportunity and Crisis in future patterning H. Yaegashi Leuven BE

4 Opportunity and Crisis in future patterning H. Yaegashi Leuven BE

5 1D layout design fabrication flow Grating-line formation Line-cutting Contact hole fabrication H. Yaegashi Leuven BE

6 Grating-line formation with Multi-patterning SADP 44nm hp 22nm hp SAQP 11nm hp SAOP 5.5nm hp H. Yaegashi Leuven BE

7 Line-cutting width narrowing 193 cut mask N10 gate N7 gate N5 gate NTD trench 40-50nm Cutting 20nm 14nm 10nm 49.5nm 18.9nm 13.2nm 9.0nm 20nm H. Yaegashi Leuven BE

8 Scaling trend of Hole pattern N20 N11 N7 N5 33nmΦ / 42hp 18nmΦ / 23hp 12nmΦ / 15hp 9nmΦ / 11hp CD:38nm CD:11nm H. Yaegashi Leuven BE 11

9 Hole pitch shrink thru Multi-patterning Cross-SADP SADP SADP Etch Depo./Etch Line Lattice Hole/Pillar Hole Hole 16nm hp Pillar H. Yaegashi Leuven BE 12

10 Opportunity and Crisis in future patterning H. Yaegashi Leuven BE

11 ~ Pattern fidelity ~ Grating line thru Multi-patterning Short trench pattern for line-cutting Hole pattern H. Yaegashi Leuven BE 14

12 ~ Pattern fidelity ~ Grating line thru Multi-patterning Short trench pattern for line-cutting Hole pattern H. Yaegashi Leuven BE 15

13 Trend of observation area with down-scaling CDU across Wafer On-Chip variation Region of interest (Microscopic observation) CDU=1.31nm/3σ CDU=0.75nm/ 3σ H. Yaegashi Leuven BE 16

14 Pattern placement error on pitch-shrunk line SADP scheme Core-pattern Spacer-depo. Etch-back & Strip Edge of design H. Yaegashi Leuven BE

15 EPE deviation correcting result Control 6 EPE variation Control Improved Placement Error (3σ nm) Improved on 22nm hp H. Yaegashi Leuven BE

16 EPE deviation correcting result in detail Placement Shift Edge Variation 6 Placement Error (3σ nm) Control Smoothed Placement Shift Edge Variation H. Yaegashi Leuven BE 20

17 LER / LWR behavior thru SADP SADP scheme Core-pattern Spacer-depo. Etch-back & Strip SADPed pattern 1000 Core pattern LER LWR 1000 LER LWR LWR PSD (nm 3 ) SADP LER: 2.75 nm LWR: 4.37 nm Spatial Frequency (nm -1 ) H. Yaegashi Leuven BE LER: 2.66 nm LWR: 1.38 nm Spatial Frequency (nm -1 )

18 Effect of Smoothed mandrel Post Litho. SADP SAQP Fault case LER : 3.4nm LER : 2.4nm LER : 2.5nm Successful case LER : 1.9nm LER : 1.6nm LER : 1.4nm H. Yaegashi Leuven BE 22

19 Mask error factor improvement by LER suppression 1000 LWR PSD (nm 3 ) 100 Post Litho. Smoothing-1 Smoothing-2 Smoothing Spatial Frequency (nm -1 ) MEEF Post Litho Post Smoothing Aerial Image LWR PSD (nm 3 ) 100 Post Litho. Smoothing-4 Smoothing Spatial Frequency (nm -1 ) Mask hp CD (nm) H. Yaegashi Leuven BE 23

20 Affection of Image blur Aerial image PEB latent image Resist profile H. Yaegashi Leuven BE 24

21 LER affection on local-cduniformity LWR PSD (nm 3 ) Spatial Frequency (nm -1 ) 1000 Post Litho. Smoothing-1 Smoothing-2 Smoothing-3 Local CDU (nm) Post litho LWR PSD (nm 3 ) 100 Post Litho. Smoothing-4 Smoothing Spatial Frequency (nm -1 ) LWR (nm) H. Yaegashi Leuven BE 25

22 LER on 193-i resist pattern Resist A Resist C Resist E LER: 1.7 nm Resist B LER: 2.9 nm Resist D LER: 3.1 nm Resist F LER: 2.0 nm H. Yaegashi Leuven BE LER: 2.4 nm LER: 2.3 nm

23 LER reduction on various resist type LER (nm) Resist-A Resist-B Resist-C Resist-D Resist-E Resist-F Post Litho. Post smoothing H. Yaegashi Leuven BE

24 LER reduction on various Smoothing process LER (nm) Smotthing A Smotthing B Smotthing C Smotthing D Smotthing E Smotthing F Smotthing G Post Litho Post Smoothing H. Yaegashi Leuven BE

25 Limitation of LER suppression LER (nm) Post Litho Post Smoothing H. Yaegashi Leuven BE 29

26 ~ Pattern fidelity ~ Grating line thru Multi-patterning Short trench pattern for line-cutting Hole pattern H. Yaegashi Leuven BE 30

27 Patten fidelity on Line-cutting pattern 40nm H. Yaegashi Leuven BE 31

28 Smoothing effect in hole-shrink process Hole shrink M 0 C/H Hole shrink with Smoothing H. Yaegashi Leuven BE 32

29 Short trench pattern layout Test pattern Realistic pattern H. Yaegashi Leuven BE 35

30 Edge placement error correction Fault case Successful case H. Yaegashi Leuven BE

31 ~ Pattern fidelity ~ Grating line thru Multi-patterning Short trench pattern for line-cutting Hole pattern H. Yaegashi Leuven BE 39

32 CD-Healing effect on hole-shrink process Post Litho Post hole-shrink Post Healing Post Hole-shrink w/healing H. Yaegashi Leuven BE 40

33 CD-Healing effect on hole-shrink process ADI ADI Hole-shrink ADI CD Healing ADI CD Healing Hole-shrink 4.0 CER (nm) hole CD(nm) H. Yaegashi Leuven BE 41

34 Hole pattern restoration 68nm pitch case Resist Image Post H/M Etch H. Yaegashi Leuven BE 42

35 CD Measurement accuracy 40nm Φ 30nm Φ 25nmΦ 20nm Φ ADI Hole shrink amount Local CDU 7.2nm CER 2.1nm Local CDU 5.4nm CER 1.5nm Local CDU 3.7nm CER 0.9nm Local CDU 2.0nm CER 0.4nm Edge contouring Local CDU 7.1nm CER 2.6nm Local CDU 5.7nm CER 2.5nm Local CDU 5.5nm CER 2.2nm Local CDU 6.7nm CER 2.1nm H. Yaegashi Leuven BE 43

36 Opportunity and Crisis in future patterning H. Yaegashi Leuven BE

37 Process Complexity in Multi-patterning Grating line : SAQP ~ OP Line-cutting : LE^3~4 Contact hole : LE^3~5 H. Yaegashi Leuven BE 45

38 Process step number comparison 193 Extension EUV DSA 1D layout 1D layout 2D layout M 2 M 2 M 2 Layer via 1 Cut 1 via 2 Cut 2 via 3 Cut 3 via 4 Cut 4 Layer via 1 Cut 1 via 2 Cut 2 via 1 via 2 M 1 SAQP M 1 SADP M 1 Mask Mask Mask level : 8~10 layers Etch step : 12~ steps Mask level : 6 layers Etch steps : 6 steps Mask level : 4 layers Etch step : 4 steps Mask level :??? Etch step :??? H. Yaegashi Leuven BE 46

39 Process cost comparison via Line-cut Grating line CoC (a.u.) SADP SAQP SAQP +1CUt SAQP +3Cut SAQP +3Cut+2via SAQP +4Cut+4via EUV +via EUV +Cut+via EUV/SADP +2CUt+2via DSA + Process scheme EUV: 150WPH H. Yaegashi Leuven BE 50

40 Summary Scalability Spacer type multi-patterning is regarded as reliable technique that can extend 193 immersion. Pattern fidelity LER suppression plays important roles in fidelity control. Stochastics mignt drive pattern fidelity in N5 era. Cost / Process complexity Mitigation of process complexity remains biggest challenge. H. Yaegashi Leuven BE 51

41 54

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond EUV Workshop 2013 June 13, 2013 EUV Resist Materials and Process for 16 nm Half Pitch and Beyond Yoshi Hishiro JSR Micro Inc. No13-2400-056 Challenge for EUV Resist & JSR approaches EUV Resist Resolution,

More information

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Line Width Roughness Control for EUV Patterning

Line Width Roughness Control for EUV Patterning Line Width Roughness Control for EUV Patterning Shinichiro Kawakami, Lior Huli, Shannon Dunn, Akiteru Ko TEL Technology Center, America, LLC., 255 Fuller Road, STE 244, Albany, NY 12203 USA Karen Petrillo,

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

EUVL Challenges for Next Generation Devices

EUVL Challenges for Next Generation Devices EUVL Challenges for Next Generation Devices Center for Semiconductor Research & Development Advanced Lithography Process Technology Dept. Tatsuhiko Higashiki Contents Device Roadmap and Lithography Extendibility

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Introduction of ADVANTEST EB Lithography System

Introduction of ADVANTEST EB Lithography System Introduction of ADVANTEST EB Lithography System Nanotechnology Business Division ADVANTEST Corporation 1 2 Node [nm] EB Lithography Products < ADVANTEST s Superiority > High Resolution :EB optical technology

More information

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography

More information

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam J. Belledent 1, M. Smayling 2, J. Pradelles 1, P. Pimenta-Barros 1, S. Barnola 1, L. Mage 1, B. Icard 1, C. Lapeyre 1, S. Soulan 1,

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department Status and Challenges for Multibeam DW lithography L. PAIN CEA - LETI Silicon Technology Department Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Mask Fabrication For Nanoimprint Lithography

Mask Fabrication For Nanoimprint Lithography Mask Fabrication For Nanoimprint Lithography Doug Resnick Canon Nanotechnologies 1807C W. Braker Lane Austin, TX 78758 * dresnick@cnt.canon.com Template (Imprint Mask) Fabrication: Outline E-beam and Etch

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Juergen Lorenz Fraunhofer IISB, Erlangen, Germany PATMOS/VARI 2016 Slide 1 OUTLINE Introduction

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Present Status and Future Prospects of EUV Lithography

Present Status and Future Prospects of EUV Lithography 3rd EUV-FEL Workshop Present Status and Future Prospects of EUV Lithography (EUV リソグラフィーの現状と将来展望 ) December 11, 2011 Evolving nano process Infrastructure Development Center, Inc. (EIDEC) Hidemi Ishiuchi

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Novel EUV Resist Development for Sub-14nm Half Pitch

Novel EUV Resist Development for Sub-14nm Half Pitch EUV Workshop 2015 Maui, HI P64 Novel EUV Resist Development for Sub-14nm Half Pitch Yoshi Hishiro JSR Micro Inc. EUV Workshop, June 17, 2015 1 Contents Requirement for sub-14nm HP EUV resist JSR strategy

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Beyond Immersion Patterning Enablers for the Next Decade

Beyond Immersion Patterning Enablers for the Next Decade Beyond Immersion Patterning Enablers for the Next Decade Colin Brodsky Manager and Senior Technical Staff Member Patterning Process Development IBM Semiconductor Research & Development Center Hopewell

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain IDeAL program : DSA activity at LETI S. Tedesco R. Tiron L. Pain Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

EUV Resists: Pushing to the Extreme

EUV Resists: Pushing to the Extreme Journal of Photopolymer Science and Technology Volume 27, Number 6 (2014) 725 730 2014SPST Technical Paper EUV Resists: Pushing to the Extreme Patrick Naulleau 1, Christopher Anderson 1, Weilun Chao 1,

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

EEE5026; 943/U0280 Physical Design for Nanometer ICs

EEE5026; 943/U0280 Physical Design for Nanometer ICs EEE5026; 943/U0280 Physical Design for Nanometer ICs 張耀文 Yao-Wen Chang ywchang@ntu.edu.tw http://cc.ee.ntu.edu.tw/~ywchang Graduate Institute of Electronics Engineering Department of Electrical Engineering

More information

Physical Design for Nanometer ICs

Physical Design for Nanometer ICs EEE5026; 943/U0280 Physical Design for Nanometer ICs 張耀文 Yao-Wen Chang ywchang@ntu.edu.tw http://cc.ee.ntu.edu.tw/~ywchang Graduate Institute of Electronics Engineering Department of Electrical Engineering

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Business Unit Electronic Materials

Business Unit Electronic Materials Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau,

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information