Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Size: px
Start display at page:

Download "Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam"

Transcription

1 Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam J. Belledent 1, M. Smayling 2, J. Pradelles 1, P. Pimenta-Barros 1, S. Barnola 1, L. Mage 1, B. Icard 1, C. Lapeyre 1, S. Soulan 1, L. Pain 1 1: CEA LETI, MINATEC, 17 rue des martyrs, F GRENOBLE Cedex 9, France 2: Tela Innovations, Inc.485 Alberto Way, Suite 115, Los Gatos, CA ABSTRACT A roadmap extending far beyond the current 22nm CMOS node has been presented several times. [1] This roadmap includes the use of a highly regular layout style which can be decomposed into lines and cuts. [2] The lines can be done with existing optical immersion lithography and pitch division with self-aligned spacers.[3] The cuts can be done with either multiple exposures using immersion lithography, or a hybrid solution using either EUV or direct-write e- beam.[4] The choice for cuts will be driven by the availability of cost-effective, manufacturing-ready equipment and infrastructure. Optical lithography improvements have enabled scaling far beyond what was expected; for example, soft x-rays (aka EUV) were in the semiconductor roadmap as early as 1994 since optical resolution was not expected for sub-100nm features. However, steady improvements and innovations such as Excimer laser sources and immersion photolithography have allowed some manufacturers to build 22nm CMOS SOCs with single-exposure optical lithography. With the transition from random complex 2D shapes to regular 1D-patterns at 28nm, the lines and cuts approach can extend CMOS logic to at least the 7nm node. The spacer double patterning for lines and optical cuts patterning is expected to be used down to the 14nm node. In this study, we extend the scaling to 18nm half-pitch which is approximately the 10-11nm node using spacer pitch division and complementary e-beam lithography. For practical reasons, E-Beam lithography is used as well to expose the mandrel patterns that support the spacers. However, in a production mode, it might be cost effective to replace this step by a standard 193nm exposure and applying the spacer technique twice to divide the pitch by 3 or 4. The Metal-1 cut pattern is designed for a reasonably complex logic function with ~100k gates of combinatorial logic and flip-flops. Since the final conductor is defined by a Damascene process, the cut patterns become islands of resist blocking hard-mask trenches. The shapes are often small and positioned on a dense grid making this layer to be the most critical one. This is why direct-write e-beam patterning, possibly using massively parallel beams, is well suited for this task. In this study, we show that a conventional shaped beam system can already pattern the 11nm node Metal-1 layer with reasonable overlay margin. The combination of design style, optical lithography plus pitch-division, and e-beam lithography appears to provide a scaling path far into the future. Keywords: Multiple electron beams, data preparation, 1D gridded design, Self-Aligned Double Patterning 1. INTRODUCTION Photolithography has aroused strong attention for several years already. It is mainly due to the fact that, since the introduction of the high-na 193nm immersion scanners, there was no longer an easy path to scale numerical aperture

2 and exposure wavelength in order to enhance the resolution. This implies that, for advance nodes, several exposures are now required to expose one single critical layer. This simple fact induces obviously huge impact in the economics, the logistics in the fabrics and, not the least, it changes the way the circuits have to be designed. Indeed, they have now to be double patterning compliant and this, in itself, is a notion difficult to specify and to encode in design and verification tools. Fortunately, this process can be very much simplified by adopting a 1D gridded design style. Addressing the metal 1 specific case, known as one of the most resolution demanding, we will demonstrate in this paper that such layouts can be already patterned using today s tools, up to the 11nm node. 1.1 Gridded design A gridded design, in our sense, is composed of an array of lines drawn at a single pitch and orientation that are cut with rectangular shapes placed on a grid. The width of the latter polygons is fixed and the height values are equal to a multiple of the line pitch. Figure 1 shows the basic principle that drives 1D gridded design style. Figure 1: Basic principle of a 1D gridded design. The layout can be decomposed into a line pattern and a cut pattern. They are many reasons for a process engineer to love gridded design rules. It is possible to make a non-exhaustive list in saying that the process development effort is drastically reduced (e.g. very simple OPC, choice of illumination settings is straight forward ), the CD control is improved (e.g. no etch micro-loading effects, iso-focal illumination ) and it saves cost (e.g. computer power for the OPC, metrology ). But it happens also that they are also beneficial to designers as well. Indeed, they do not have to deal with complex Design Rule Manuals anymore, the resulting layouts are by construction double patterning compliant and they can rely on a better process control which translates into lower risk, better accuracy and reduced work. From a design point of view, the cut mask defines the circuit functions. For example, only this layer will be updated in case of a design re-spin. From a process point of view, it is the most resolution demanding of the two exposures as techniques already exist to pattern the lines using today s 193nm immersion scanners (e.g. Self-Align Double Patterning [9], Directed Self Assembly [10] ). These are already two reasons to preferably expose this layer using an Electron Beam Direct Write tool (EBDW) as it can save the cost of one additional mask in the first case and very high resolution can be achieved. Therefore, it has been our equipment of choice for our experience and, according to the authors, it should be considered seriously for production. Table 1 shows the trend of pitches and cut sizes required to meet the density specifications for several logic nodes. The values reported here are estimates based on scaling trends. In our experiment, we chose to address the metal-1 layer for the 14nm and the 11nm nodes. Therefore, the targeted pitch values for the lines are 44nm and 36nm respectively. The dots have to be patterned on a grid at the gate pitch in the x direction and at the metal-1 pitch in the y direction. Their smallest allowed sizes are given in the bottom row of the table (e.g. 23nm wide, 36nm long for the 11nm node).

3 Table 1: Design trend versus technology nodes. The values reported in this table are estimates based on published information. In our experiment, the gate lines are vertical and therefore use the x-pitch. Metal-1 lines are placed perpendicular to the gates at the y-pitch. 1.2 Patterning of the lines Self-Aligned Double, Triple and Quadruple Patterning Several techniques can be used to make the lines starting from a 193nm exposure. Certainly the most mature one is the so called Self-Aligned Patterning or Sidewall Image Transfer (ref) that makes usage of spacers to divide the lithography pitch. Figure 2 gives a schematic view on how the density of the exposed lines could be increased by a factor of 2 (Self- Align Double Patterning), 3 (Self-Align Triple Patterning) or even 4 (Self-Align Quadruple Patterning). Figure 2: Various techniques that can be used to divide the exposed pitch by 2 (SADP), 3 (SATP) or even 4 (SAQP). SATP and SAQP require two steps of spacer deposition. Besides obvious cost differences, these three integration schemes impact differently the CD control and the overlay margins. As many process steps are involved, it is difficult to anticipate what exactly the workable design space is, given one set of tools. In an attempt to clarify this, we had been through the process of conducting a Monte Carlo study on the assumption given in Table 2. All process parameters in Table 2.a are supposed to be normally distributed. The exposure is simulated assuming a polarized dipole illumination optimized for the pitch being considered, meaning that defocus has little impact on the result. The resist effects are approximated using a diffused aerial image model with a diffusion length of 5nm 1σ. The true mask stack was simulated using an FDTD algorithm so topography effects are taken into account. Figure 3 shows the expected CD variations for a set of chosen conditions. In an attempt to help the interpretation of the results, the values were normalized to the targeted CD values. In case (a), most of the data points fall inside the commonly accepted +/-10% CD variation range, meaning that metal 1 lines at the 14nm node can be patterned using a 193nm exposure + SADP. The 40nm pitch case (b) becomes marginal and pitch should be divided further more to meet the CD specifications for denser lines arrays (c to h). As far as CD control is concerned, the 11nm node (36nm pitch) can advantageously be patterned using an SATP integration flow. However, one should mind that, in this latter case, the CD distribution can no longer be assumed to be normal, two populations of spaces being combined together. This matter of fact makes difficult to decide to what extent SATP can be used 27nm pitch (g) might be the absolute limit. Finally, if

4 SAQP would be adopted, designs would have to deal with higher CD variations than the standard +/-10% range, (e) exceeding this limit already. 3σ values Focus error 50nm Dose error 2.5% Mask CD error 1nm (1X) Mask registration error 1.8nm (1X) Etch CD error 2.4nm Spacer etch back 1.2nm Scanner OVL 1nm Polarized dipole illumination Opening angle 30 σ centre optimised for the pitch being simulated σ out σ in = 0.3 Mask stack: OMOG FDTD Diffused Aerial Image (5nm 1σ) a. Process inputs b. Illumination settings Table 2: Conditions used in the simulation. Table (a) gives the variation spread of all process parameters used in the Monte Carlo analysis. Inset (b) specify the illumination settings used in the simulation. Figure 3: Final CD spread for various pitch values. Each box height gives the range within which lies 50 % of the CD values at a given final pitch (x axis in nm). The whiskers give the 99.3% interval confidence if the population would be normally distributed. Black crosses are the data points that fall outside this latter interval. Several process types were used for this study: SADP (white background) SATP (light grey) and SAQP (dark grey). All CD values are normalized to the spacer width which is also the targeted final CD value. Figure 4 gives the spread of enclosure. To be more accurate, the values reported here are the calculated distances from the location of the simulated spacer inner edge to the drawn position of the dot tip. Similarly to Figure 3, values are normalized to the spacer width so it is possible to visualize directly what the workable design space is. The safe region lies between 0.2 and 0.8 to account for dots length variations and corner rounding. Given this recommendation, one can see that our test cases (a and f) are on the safe side. Additionally, comparing the results obtained with SATP and SAQP (e and f), better CD control does not translate automatically into narrower enclosure spread, meaning that the overlay margin is dominated by the scanner position accuracy and the registration errors on the mask.

5 Figure 4: Dot to spacer enclosure spread for various pitch values. Significance of background colors, boxes height and whiskers lengths are the same as in Figure EXPERIMENT DESCRIPTION 2.1 Our integration flow For operational reason, we chose to expose the lines with an electron shaped-beam tool to prevent us from going through the hurdle of ordering a test mask. However we had been careful at taking a wafer stack that would be compatible with an optical exposure. For instance, the reflection was minimised for a wide range of incident angles. The exposed lines in resist were transferred into a sacrificial layer that was used as mandrel to a standard SADP process (Figure 5). Therefore, the situation before the second exposure is similar to the one that we would obtain after a 193nm exposure plus a SAQP integration flow. At this stage starts our recommended solution for patterning 1D gridded designs. And here, one can already notice that electron lithography have a great advantage over other techniques as it is not sensitive to wafer topography. Basically, it means that the wafer stack can be very much simplified and the resist can be directly coated inside the gap delimited by the spacers, provided there is no filling issue. Figure 5: Integration flow used in our experiment. The lines were exposed using an electron beam tool for operational reasons and it is not the recommended solution for production. However the wafer stack is compatible with an optical exposure.

6 2.2 Choice of resist Referring to Table 1, pitches, as small as 46nm, have to be resolved in order to pattern the cut mask for the 11nm node. This is about the resolution limit of available electron beam resist. Therefore, we had carefully selected the best processes for the safety of this experiment. Table 3 gives a summary of the results obtained with our champion resists. In the three first columns, we reported the minimum achievable pitch for lines, dots and holes patterns respectively. The fourth column gives information on the corresponding dose to size that made the line patterning successful. The last column shows the resist contrast. From these results, we had no choice but picking the positive chemical amplified resist for our exposures. This means basically that the open area have to be exposed instead of just the dots, impacting the throughput as well as the amount of backscattered electrons. However the authors do not see any reason why a negative resist could not demonstrate better performance levels as the one shown here and they have the feeling that, lately, development works were more focused towards positive chemically amplified resists. In an attempt to reduce the number of shots, we had also been testing a Negative Tone Develop process (NTD, 3rd row in Table 3). Unfortunately, the required resolution could not be reached but the results are certainly promising. Lines 1:1 (nm) Best negative resist 32 Best positive resist 24 Best results with NTD 34 Dots 1:1 (nm) Holes 1:1 (nm) Dose (µc/cm2) Contrast Table 3: Summary results of our best processes. All resist are chemically amplified. Figure 6 shows printed results with our champion process. The results at 50kV were obtained with a shaped beam tool, and the 5keV ones with a raster-scan electron beam piece of equipment. From these pictures, one can say that the resist is able to print 24nm dense lines and the shaped beam tool is already operating at its resolution limit at 26nm half pitch. This later system is the one that was used for patterning our gridded design layout. a. 50kV results b. 5kV results Figure 6: Printed results with our champion resist. Comparing results at 50kV (a) and 5kV (b), one can see that the resist is able to print 24nm h.p. lines and spaces and 26nm h.p. is about the resolution limit of our shaped beam tool. Our champion resist happens to be a positive one. 2.3 The layout The layout to be patterned consists in a set of 6 logic blocks and 6 SRAM arrays; each one is a few tens of microns wide. The intention is to cover all combinations of gate and metal-1 pitches with the relevant cut sizes as presented in Table 1

7 for the 11nm and 14nm node, plus one intermediate gate pitch at 52nm (the gate pitch is also the minimum pitch for the cut mask in the x-direction). 3. WAFER RESULTS 3.1 Alignment and overlay margin The alignment of the cut mask is critical towards the lines patterns along the y direction and towards the via or the contact layer along the x direction. However, at this stage, only the edges of the resist patterns printed during the first lithographic step remain on the wafer. They are made of tiny spacers that are very difficult to resolve with available alignment systems. As designed, our shaped beam tool scans the marks at 50keV and detects the secondary electrons bouncing back from the wafer to measure their locations. Unfortunately, this acceleration voltage is too high to reveal the small topography changes at the surface and the resulting signal is by far too noisy as shown on Figure 7.a. Therefore, for the safety of our experiment, we had to rely on alignment marks patterned on an under-layer. However, an optical microscope can reveal enough of the mark to enable the alignment of the second exposure to the spacers, provided that its design was heavily segmented (Figure 7.b). Indeed, the individual spacers cannot be resolved individually and the alignment system detects only changes in reflection induced by the spacers all together (the denser the pattern, the better the contrast is). It is also worth saying that all overlay error measurements (litho1 to under-layer, litho2 to litho1and litho2 to underlayer) were successfully measured thanks to segmented AIM mark (e.g. Figure 8). Regular box in box marks work as well. a. Signal of an segmented alignment mark scanned at 50keV b. Signals obtained with an optical microscope (highest contrast standard alignment mark, lowest contrast alignment marks segmented with spacers) Figure 7: Example of signals obtained while reading litho 1 alignment marks during the cut mask exposure. Figure 8: AIM mark used to measure the overlay after the cut mask exposure. The litho 1 mark is segmented to enhance the contrast.

8 We saw in 2.1 that, in case of an electron beam exposure, the resist could be coated inside the gap delimited by the spacers, simplifying the wafer stack during the cut mask exposure. Another advantage in doing so has to do with the guided diffusion of species that happens along the spacers. This results in higher overlay margin as illustrated on Figure 9. Here, we had exposed the cut mask with programmable y offsets with respect to the line patterns. We had then measured locally the resulting overlay error on a SEM and took pictures of the superposition of layers. It shows that the confinement of the exposure inside the spacers enlarges the overlay margin to, at least, +/- half the final CD value. Figure 9: Study of the overlay margin. The pattern consists in two dots of resist inside the gap separating two consecutive spacers. They are resulting from the exposure of the cut mask with the photoresist coated directly on top of the spacers. The numbers at the bottom of the pictures give the measured overlay error normalized to the spacer width value. 3.2 Top down SEM images Finally, Figure 10 shows an example of the wafer results that we obtained on the most aggressive logic test case (metal1 pitch 36nm and gate 46nm). The SEM pictures were taken at various magnification levels in order to show the density of patterns (large view) and the achieved CD values which are on target (close-up view). The enclosure is just fine on this example for which we had failed in filling the gaps with resist. Obviously, it will be part of future works for the authors. Hopefully, the resist could be coated successfully inside the spacers for the 44nm test cases as shown on Figure 11 although the lines CD was not on target making every two gaps to be very narrow. At this stage, the dots are still made of resist although they show up with the same contrast on the SEM images. The 36nm SRAM dots bridge sometimes, especially on the densest array, but the location happens to be situated on top of the spacers and, hopefully, the failure is not expected to be transferred into the substrate. It also should no longer be visible once a way could be found to make the resist to penetrate the trenches delimited by the spacers. Figure 10: Top-down SEM pictures of the 11nm logic test case. The metal 1 pitch is equal to 36nm, the gate pitch 46nm and so, the minimum pitch for the dots.

9 Figure 11: Top-down SEM images of all the SRAM arrays designed for this experiment. The gate pitch varies from 46nm to 58nm; it is also the minimum pitch in the x-direction for the dots. The resist patterns of the 36nm SRAM happen to bridge sometimes. Hopefully the failure is located on top of the spacers and therefore, won t be transferred into the substrate. 4. CONCLUSION We saw that 1D gridded design style improves drastically the patterning process. Resulting layouts can easily be split into two layers: one made of lines and one of dots or holes. Many options already exist to address the first (line) patterning step with today s optical scanners that can extend their lifetime indefinitely. The most mature technique makes usage of spacers to divide the exposed pitch by 2, 3 or even 4. But, Directed Self Assembly is also promising provided it can meet the defect specifications in a near future. We can then concentrate on the cut mask which is truly the one demanding resolution and the most important from a design point of view as it defines the functions of the circuit. We also saw that the cut mask can be advantageously be exposed with an electron beam tool because the required resolution is already sufficient to pattern the 11nm technology node, the wafer stack is simplified, the overlay margin is enlarged compare to other optical techniques and it saves the cost of an additional mask in case of a design re-spin. Finally, much better results could have been obtained if we had access to a negative resist with similar performance level as the one used for this experiment. It would also improve the throughput until a real breakthrough comes eventually from one of the multi electron beams tools that are being developed right now. REFERENCES [1] Y. Borodovsky, Lithography 2009: Overview of Opportunities, SemiCon West, 2009 [2] M.C. Smayling, C. Bencher, H.D. Chen, N. Dai, M.P. Duane, APF Pitch-Halving for 22nm Logic Cells using Gridded Design Rules, Proc. SPIE Microlithography, [3] H. Yaegashi, The self-aligned Spacer DP process towards 11nm node and beyond, Lithography Workshop [4] V. Axelrad, M.C. Smayling, K. Tsujita, Optical lithography applied to 20nm CMOS Logic and SRAM, Proc. SPIE Advanced Lithography, 2011.

10 [5] T.H.P. Chang, Proximity effect in electron-beam lithography, Journal of Vacuum Science Technology, 12(6), , 1975 [6] S.J. Lin, W.C. Wang, P.S. Chen, C.Y.Liu, T.N.Lo, Jack J.H. Chen, Faruk Krecinic, Burn J. Lin, Characteristics Performance of Production-Worthy Multiple-E-Beam ", SPIE Advanced Lithography 7637_43 (2010). [7] L. Pain, Transitioning of direct e-beam write technology from research and development into production flow, Microelectronic Engineering 83, pp , [8] L. Pain, B. Icard, S. Tedesco, B. Kampherbeek, G. Gross, C. Klein, H. Loeschner, E Platzgummer, R. Morgan, S. Manakli, J. Kretz, C. Holhe, K-H Choi, F. Thrum, E. Kassel, W. Pilz, K. Keil, J. Butschke, M. Irmscher, F. Letzkus, P. Hudek, A. Paraskevopoulos, P. Ramm, J. Weber, MAGIC: a European program to push the insertion of maskless lithography, SPIE 6921_49 (2008). [9] C. Bencher, H. Dai, L. Miao, Y. Chen, P. Xu, Y. Chen, S. Oemardani, J. Sweis, V. Wiaux, J. Hermans, L.-W. Chang, X. Bao, H. Yi, H.-S. Wong Mandrel-based patterning: density multiplication techniques for 15nm nodes, SPIE Advanced Lithography 2011 [ ] [10] L. Oria, A. Ruiz de Luzuriaga, X. Chevalier, J. Alduncin, D. Mecerreyes, R. Tiron, S. Gaugiran, F. Perez- Murano Guided self-assembly of block-copolymer for CMOS technology: a comparative study between grapho-epitaxy and surface chemical modification, SPIE Advanced Lithography 2011 [ ] AKNOWLEDGEMENTS The authors would like to thank Luc Martin from Aselta Nanographics and the ebeam Innitiative consortium for help and support.

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology L. Pain (1), B. Icard (1), M. Martin (1), C. Constancias (1), S. Tedesco (1), P. Wiedeman

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department Status and Challenges for Multibeam DW lithography L. PAIN CEA - LETI Silicon Technology Department Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Toward 5nm node ; Untoward Scaling with Multi-patterning

Toward 5nm node ; Untoward Scaling with Multi-patterning 1 st International Symposium on DSA Toward 5nm node ; Untoward Scaling with Multi-patterning 27 th OCT 2015 H. Yaegashi Chief Engineer Tokyo Electron Limited Down-caling trend towards N5 N20 N14 N10 N7

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain IDeAL program : DSA activity at LETI S. Tedesco R. Tiron L. Pain Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

PICO MASTER. UV direct laser writer for maskless lithography

PICO MASTER. UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 PICO MASTER UV direct laser writer for maskless lithography Introduction The PicoMaster is a versatile UV laser

More information

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information