Verification Futures The Next 5 Years

Size: px
Start display at page:

Download "Verification Futures The Next 5 Years"

Transcription

1 Verification Futures The Next 5 Years Tuesday, 15 th November 2011 Hilton Hotel, Reading The FREE conference will look at the challenges in verification and how these will change over the next 5 years, and attempt to look at some of the solutions Agenda: All times below are UK times 8.30 Arrival: Refreshments and Networking 9.30 Introduction: Mike Bartley, TVS 9.35 Harry Foster, Mentor Graphics Presentation on Harry s annual survey Title: From Volume to Velocity: The Transforming Landscape in Function Verification Abstract: There has been a remarkable acceleration in the adoption of advanced verification methodologies, languages and new standards. This is true across all types of IC design and geographic regions. Designers and verification engineers are surprisingly open to new approaches to keep pace with the relentless rise in design density. They are looking beyond simply increasing the volume of verification to instead using advanced techniques to improve the velocity of verification. The result is design teams have not lost ground on meeting schedule goals or first pass silicon success even as design complexity has grown. Now the focus is shifting to appreciably improving those metrics while shrinking verification costs. This keynote discusses the state of functional verification past, present and future. Data from the recent 2010/11 Wilson Research Group Functional Verification Study (the world s largest industry functional verification study ever conducted) will be presented, and insights into emerging challenges and solutions will be discussed. Biography: Harry Foster is Chief Scientist for Mentor Graphics' Design Verification Technology Division. He holds multiple patents in verification and has co authored six books on verification including the 2008 Springer book Creating Assertion Based IP. Harry was the 2006 recipient of the Accellera Technical Excellence Award for his contributions to developing industry standards, and was the original creator of the Accellera Open Verification Library (OVL) standard.

2 Panel Session: Our Top Verification Challenges A view on the verification challenges from some major semi conductor companies around Europe Bryan Dickman, ARM (Director Design Assurance, Processor Division) Design for Verification: How to involve to designers to make verification easier? Scalability: Can we really continue to do this via simulation? Determining levels of completeness: How do we know when we re done? Biography: Biography: Bryan has been working within CPU Verification at ARM since 1996, holding several different posts including Engineering Manager for the Cambridge CPU team around the time of the ARM11 development program. Currently program managing the Viper program which is an ongoing program to further extend and refine ARM s design verification capabilities. Bryan gained a BSc in Physics from Leeds University in 1983, and has previously worked for Ferranti and ICL in the UK as a hardware design engineer Olivier Haller, STMicroelectronics (Verification Methodology Manager) Scalability: Exhaustive RTL simulation will soon be no longer affordable and the data they generate is unmanageable. Systems Complexity: Systems tests are now too complex to be hand written and heterogeneous multi core systems introducing new level of complexity. Nobody can have full understanding of full system. Productivity: Human and IT resources cannot grow linearly so how do we do more with the same budget? Biography: Olivier Haller is Verification Methodology Manager in the Functional Verification Group at STMicroelectronics. He is responsible for the definition and deployment of verification solutions at ST, including support for key ST and ST Ericsson projects. Oliver started his career at ST in 1998 as digital designer for wireless products. In 2000 he pursued functional verification as member of the CAD support team, introducing coveragedriven verification techniques. Since 2004 he is leading the design verification solutions team in ST s central verification group where he has been pioneering the introduction of functional qualification within ST to qualify IPs and SOCs, applying business intelligence techniques to verification, introduced SOC level test generation and proposed other innovative solutions to improve quality of verification environments and increase productivity of verification engineers across the company. Oliver graduated from Grandes Ecoles d ingénieur en électronique in Grenoble and holds a joint ENSERG/ENSIMAG engineering degree in electronics and computer science. Hans Lundén, Ericsson TLM in verification. We are introducing TLM for many purposes and we need to include TLM in verification as well. VIP. Have to set strategies for language, methodology, 3th party VIPs vs inhouse VIP. DfV. Very difficult task since we include everything from product planning, Systemization, ASIC architecture and block design. Biography: Hans Lundén (Ms.Sc.E.E., Tech.Lic. from Chalmers University of Technology) has a background in Microwave filters and VHSIC on GaAs and has worked with digital ASIC since 20+ years as a designer, project manager, methodology developer and line manager. Most recently he started a new group at Ericsson for "Functional Verification" Clemens Müller, Infineon Mastering verification complexity. Reuse of verification environments / stimulus from IP level into big multi CPU environments Debug automation. Make the right guess on the root cause of a failure and make it early requirements driven verification/validation Full traceability of spec to IP/SubSystem/SoC verification/validation plans and backannotation of completion metrics such as coverage

3 Biography: Dr Clemens Müller is Director Functional Verification in Infineon s Automotive Microcontroller Business Line. He holds MSc and PhD from Technical University of Aachen. During his doctoral research he worked at the University s Spin off Research Institute AMO GmbH AMICA, where he developed a shared memory GBit networking hardware. From 1998 to 2000 he joined Motorola s Microcontroller Group in Munich and introduced new concepts for verifying digital IP. During this time he looked into several testbench automation tools. In 2001 Clemens Müller joined Verisity (through acquisition later Cadence) where he held various positions from Senior Verification Consultant to Technical Director Europe, Verification Division). In this period he pioneered together with his application engineering team broad deployment of metric driven verification (functional/code/check coverage) and introduced regression automation tools such as vmanager. In later phase he was responsible for the worldwide roll out of Cadence s SystemVerilog solution. In 2008 he joined ARC International and ran the worldwide application engineering team, focus on processor IP, audio/video codecs and rapid prototyping systems. From mid 2010 Clemens Müller is with Infineon. He brings a broad field application background from his intense collaboration with all big semiconductor companies and holds various out performing awards (such as Consulting Engineer of the Year, Cadence Entrepreneurial Star etc.) Refreshments and Networking Mike Stellfox, Cadence Design Systems Title: The next frontier Addressing the Challenges of SoC Verification Abstract: The main focus on advancing verification in the industry for the past few years has been centered more on IP verification. This includes constrained random, coverage and metric driven verification based on the UVM e or SystemVerilog methodology. While these approaches are certainly needed for bottoms up IP to Subsystem verification, they run out of steam when you consider the verification challenges for large scale multi core SoCs. In fact, there is a rather large gap in how most SoCs are verified today relevant to what is needed in order to have a scalable and efficient SoC development process. The current generation of SoCs being developed by many customers present significant challenges in how to efficiently verify the large integration of digital and analog IPs along with a growing amount of SW content and increasingly more sophisticated low power design features. This presentation will review these challenges and outline some directions where new solutions are required to address the significant verification gaps which exist today for efficient SoC Realisation. Biography: Mike Stellfox leads the SoC and System Verification Solutions Architecture Team, whose primary charter is to understand customer verification challenges in order to develop verification methodology and drive requirements for the development of the Cadence SoC Verification Solutions. These methodology based solutions include verification planning and management, OVM/UVM e, SystemVerilog testbenches, Debug, Metric Driven Verification, and HW/SW Verification. Mike has been working to advance the field of functional verification for the past 15+ years in various roles from Verisity Verification Consulting Engineer, to leading the Verisity Field Organization, to developing verification methodology and solutions. Mike began his career at IBM, where he worked as an ASIC Designer responsible for designing and verifying a variety of 2D and 3D graphics chips. Serrie Chapman and Darren Galpin, Infineon Technologies Title: The drive for Requirements Engineering and how it may affect verification Abstract: Currently there is a strong drive towards improving traceability between stakeholders, internal and external, and the implemented hardware. The main obvious benefits are driving quality through ensuring completeness and giving visibility to over and under engineering. However it is also identifying holes within the traceability flow that require new solutions such as how we prove requirements. It is also driving a change in how we document, the current push is towards using UML to write the top level requirements however there is no reason why this may not in future be driven further down the chain to testplans and testbench documentation. With that in mind we would like to take it into consideration before standards start to dictate it, is it usable and what could the benefits be. Biography: Darren Galpin started his career with STMicroelectronics in 1997 in Product Engineering, switching to verification in He joined Infineon in 2000 having worked on both TriCore processor verification, SoC verification and IP verification and became System & IP Verification Manager in November Darren became the IEEE 1647 Vice Chair in 2007 and Chair in November Biography: Serrie Chapman started her career in semiconductors with Infineon in 2000 after a career change. Serrie

4 has worked on TriCore processor, SOC and a variety of IP verification projects. Serrie moved over to full time Requirements engineering after having experimented and worked in the background on a variety of requirements traceability and completeness projects since Serrie is also a member of the IEEE 1647 and was previously secretary on the Working Group for several years. Mike Benjamin, TVS Title: Benchmarking Functional Verification Abstract: We are all aware of the need for constant improvement to meet the ever growing challenges posed by functional verification. However when it comes to measuring how our verification processes meet these challenges we quickly discover that getting to grips with benchmarking is actually incredibly difficulty. Most common benchmarking models, such as CMMI, attempt to be too general. They end up being far too complex and costly to easily apply to real projects, and their results are often too far removed from specific actions. This talk describes how a benchmarking process can overcome these limitations and become a practical tool for driving improvements in your verification processes. Firstly a verification specific methodology eliminates all the generalisations that normally make it so hard to relate benchmarking to real life issues. Secondly incorporating a simple process for linking required capabilities to actual project practices it becomes possible to quickly identify the most important issues. This structure can easily be customised to the specific needs of different application domains, different design styles or different companies and hence be kept relevant whatever the project. The talk concludes by describing how benchmarking results are validated and then used for planning verification process improvements. Biography: Mike has an MA in Electrical Sciences from Cambridge, an MSc in Computation from Oxford and over 25 years of industrial experience; mostly working on the verification of leading edge CPUs and SoCs. For almost 10 years he managed the central verification group at STMicroelectronics, responsible for driving advanced verification methodology and central services such as emulation and formal methods. These days Mike provides hardware verification consultancy and management services for TVS clients. Steve Holloway, Dialog Semiconductor Title: Adopting a Methodology, How and why? Abstract: OVM has gained a lot of momentum in the market to become the dominant verification methodology and the indications are that UVM will gain even greater traction. OVM is built around the SystemVerilog language and provides a class library that allows the user to build advanced verification environments more quickly. There is extensive documentation, training and support to assist the development of such test benches and to encourage their re use. However, there is little information on how to adapt your verification processes to best use OVM and even less advice on how to achieve company wide roll out. In the presentation we discuss the experiences of OVM adoption. We consider the original motivations and the expected benefits, the results achieved and problems that have been overcome. The aim of the presentation is to give advice to other companies considering adopting OVM/UVM. Biography: Steve Holloway is currently Senior Verification Engineer within the Methodologies group of Dialog Semiconductor. He has led the verification of various large scale consumer SoC projects and is experienced with various Hardware Verification Methodologies including erm, OVM and UVM. Steve has previously worked for Doulos, Philips Semiconductors, NXP and Trident Microsystems Lunch and Networking Lawrence Loh, Jasper Design Automation Title: SOC level Formal Verification Abstract: The value of model checking in block and IP level verification has been well understood in the industry. However, its value in system level verification has been very limited. System level verification challenges have been very prominent in recent years as many chips are now SOCs containing a large number of IPs. Jasper has been successfully helping customers by applying model checking in various system level verification challenges beyond IP interface certification and IP level verification. In this presentation, I want to share some of the system level

5 applications successes in areas such as system architecture verification, system integration, and system level debug. Biography: Lawrence Loh, Vice President of Worldwide Applications Engineering, Jasper Design Automation holds overall management responsibility for applications engineering and methodology development At Jasper Design Automation. He has been with the company since He holds four U.S. patents on formal technologies. His prior experience includes verification and emulation engineering for MIPS, verification manager for Infineon s LAN Business Unit, and Digital hardware design for Hughes Network Systems. Lawrence holds a BSEE from California Polytechnic State University and an MSEE from San Diego State Nick Gatherer, ARM Title: Does ESL Have a Role in Verification? Abstract: Recent years have brought some impressive steps forward in verification methodologies and tools, and yet still we face significant challenges. The relentless growth in system and SoC complexity, including rapidly escalating software content and increasingly sophisticated approaches to multi core and power management, demand a portfolio of powerful and coherent verification approaches. This presentation will take a holistic perspective on the verification landscape, and highlight some specific domains in which ESL solutions are already contributing to increased verification productivity and confidence. Biography: Nick Gatherer is currently Engineering Manager for modelling within the Processor Division of ARM, responsible for the provision of models at multiple levels of abstraction to support both internal development projects and ARM partners. Prior to ARM he also gained extensive experience in the design and management of complex SoC s, and has lead corporate programs to innovate and exploit advanced technology solutions for verification and system level design. Nick has previously worked for Philips Semiconductors, Cadence, NXP, and Trident Microsystems, and holds an MSc in Microelectronics Systems Design from Brunel University. Jean Marc Forey, SpringSoft Title: Mutation based Testing Technologies Close the Quality Gap in Functional Verification for Complex Chip Designs Abstract: Leading edge chip designs are verified by sophisticated and diverse verification environments, the complexity of which rivals or exceeds that of the design itself. Despite advancements in stimulus generation and coverage, existing tools do not tell how good the testbench is at propagating the effects of bugs to observable outputs or detecting incorrect operation that indicates the presence of bugs. As a result decisions about when verification is done are often based on partial data or gut feel assessments. The presentation will discuss the application of mutation based testing techniques to measure and drive improvement in all aspects of functional verification quality for simulation based environments. Biography: Jean Marc Forey has a degree from the Ecole Nationale Supérieure de Physique de Strasbourg (1988). He has held hardware development positions in Thomson CSF, Matra Marconi Space, Philips TRT, Hewlett Packard. He has been Senior Verification Application Engineer for Synopsys, then application engineer director in Certess, from 2005 until their acquisition by SpringSoft in Since then he has been Technical Marketing Manager in SpringSoft for Certitude Refreshments and Networking Janick Bergeron, Synopsys Title: To Be Determined Abstract: To Be Determined Biography: To Be Determined Panel Session: The EDA response Refreshments and Networking

6 Register now for this free event at or get more details by ing Mike Bartley This event is sponsored by Mentor Graphics, SpringSoft, Cadence, Jasper, Synopsys, Doulos and TVS I understand that photographs and videos of me may be taken during this event. I give my permission to use these in any promotional materials for MathWorks. We will not sell or rent your personal contact information. See our privacy policy for details. TVS would like to share your name and contact details with the companies that present and sponsor the conference. If you do not consent please contact Sara Horrell (sara@tandvsolns.co.uk) and request to be excluded. Thank you.

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

Introducing Functional Qualification

Introducing Functional Qualification Introducing Functional Qualification Certess Inc 2007 1 Table of contents Introduction _ 3 Functional verification quality 4 Mutation based testing _ 7 Certitude: Leading functional qualification 8 Bibliography

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Trends in Functional Verification: A 2014 Industry Study

Trends in Functional Verification: A 2014 Industry Study Trends in Functional Verification: A 2014 Industry Study Harry D. Foster Mentor Graphics Corporation Wilsonville, Or Harry_Foster@mentor.com ABSTRACT Technical publications often make either subjective

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:30 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Trends and Challenges in Analog and Mixed-Signal- Verification

Trends and Challenges in Analog and Mixed-Signal- Verification Trends and Challenges in Analog and Mixed-Signal- Verification Dieter Haerle 17.5.2018 Trust, but verify - Ronald Reagan Agenda 1 2 3 4 5 6 7 Introduction Presenter Definitions Motivation What is Analog-Mixed-Signal

More information

Technology forecasting used in European Commission's policy designs is enhanced with Scopus and LexisNexis datasets

Technology forecasting used in European Commission's policy designs is enhanced with Scopus and LexisNexis datasets CASE STUDY Technology forecasting used in European Commission's policy designs is enhanced with Scopus and LexisNexis datasets EXECUTIVE SUMMARY The Joint Research Centre (JRC) is the European Commission's

More information

VERIFICATION HORIZONS

VERIFICATION HORIZONS When It Comes to Verification, Hitting the Wall Can Be a Good Thing. By Tom Fitzpatrick, Editor and Verification Technologist VERIFICATION HORIZONS A PUBLICATION OF MENTOR A SIEMENS BUSINESS VOLUME 13,

More information

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

GSEF 2019 Advisory Board

GSEF 2019 Advisory Board GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Day One 13 March Day Two 14 March 2019

Day One 13 March Day Two 14 March 2019 GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

ARTEMIS The Embedded Systems European Technology Platform

ARTEMIS The Embedded Systems European Technology Platform ARTEMIS The Embedded Systems European Technology Platform Technology Platforms : the concept Conditions A recipe for success Industry in the Lead Flexibility Transparency and clear rules of participation

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy Analog-Digital Mixed-Signal Simulator Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools 1 White paper Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools The purpose of RTCA/DO-254 (referred to herein as DO-254 ) is to provide guidance for the development

More information

Digital Engines for Smart and Connected Cars By Bob O Donnell, TECHnalysis Research Chief Analyst

Digital Engines for Smart and Connected Cars By Bob O Donnell, TECHnalysis Research Chief Analyst WHITE PAPER On Behalf of Digital Engines for Smart and Connected Cars By Bob O Donnell, TECHnalysis Research Chief Analyst SUMMARY Interest in advanced car electronics is extremely high, but there is a

More information

Interested candidates, please send your resumes to and indicate the job title in subject field.

Interested candidates, please send your resumes to and indicate the job title in subject field. Senior/Test Engineer Responsible for preparing the Production Testpackages (Hardware and Software), and Qualification Testprograms Prepares test specifications and hardware (Probecard, Loadboard) design

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution?

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Rolf Drechsler University of Bremen/DFKI Germany drechsle@informatik.uni-bremen.de Christophe Chevallaz STMicroelectronics Grenoble,

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

ERAU the FAA Research CEH Tools Qualification

ERAU the FAA Research CEH Tools Qualification ERAU the FAA Research 2007-2009 CEH Tools Qualification Contract DTFACT-07-C-00010 Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University

More information

Formal Hardware Verification: Theory Meets Practice

Formal Hardware Verification: Theory Meets Practice Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1 Quiz 1 Small Numbers Order the following

More information

Introduction to Systems Engineering

Introduction to Systems Engineering p. 1/2 ENES 489P Hands-On Systems Engineering Projects Introduction to Systems Engineering Mark Austin E-mail: austin@isr.umd.edu Institute for Systems Research, University of Maryland, College Park Career

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

The secret behind mechatronics

The secret behind mechatronics The secret behind mechatronics Why companies will want to be part of the revolution In the 18th century, steam and mechanization powered the first Industrial Revolution. At the turn of the 20th century,

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Assessment of Smart Machines and Manufacturing Competence Centre (SMACC) Scientific Advisory Board Site Visit April 2018.

Assessment of Smart Machines and Manufacturing Competence Centre (SMACC) Scientific Advisory Board Site Visit April 2018. Assessment of Smart Machines and Manufacturing Competence Centre (SMACC) Scientific Advisory Board Site Visit 25-27 April 2018 Assessment Report 1. Scientific ambition, quality and impact Rating: 3.5 The

More information

5G R&D at Huawei: An Insider Look

5G R&D at Huawei: An Insider Look 5G R&D at Huawei: An Insider Look Accelerating the move from theory to engineering practice with MATLAB and Simulink Huawei is the largest networking and telecommunications equipment and services corporation

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES A. MOLINA and O. CADENAS Computer Architecture Department, Universitat Politècnica de Catalunya, Barcelona, Spain amolina@ac.upc.edu School of System

More information

Curriculum Vitae. Education. Distinctions. Personal info

Curriculum Vitae. Education. Distinctions. Personal info Personal info Full name: Date/Place of birth: February 24 th, 1982, Athens, Greece Nationality: Greek e-mail: evlogaras@yahoo.com Personal website: http://cgi.di.uoa.gr/~evlog/ Education 2008-2015, Ph.D.

More information

Journal of Professional Communication 3(2):41-46, Professional Communication

Journal of Professional Communication 3(2):41-46, Professional Communication Journal of Professional Communication Interview with George Legrady, chair of the media arts & technology program at the University of California, Santa Barbara Stefan Müller Arisona Journal of Professional

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

Michael McKay Resume. Experience. Summary. UX Director, ebay Inc/PayPal in the Bay Area

Michael McKay Resume. Experience. Summary. UX Director, ebay Inc/PayPal in the Bay Area Michael McKay Resume Michael McKay, Menlo Park Ca, cell phone 650.714.0433 Summary I currently work as a UX Director for ebay s PayPal division in Silicon Valley. I have worked in the cross field between

More information

SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003

SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003 SYSTEM-ON-A-CHIP (SOC) VERIFICATION METHODS December 6th, 2003 Morgan Chen E-mail: mjchen@ece.ucdavis.edu Department of Electrical and Computer Engineering, University of California at Davis, CA 95616

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

From design to good integrated circuits. Workshop on Affordable Design and Production of Mixed-Signal ASICs for Small and Medium Enterprises (SMEs)

From design to good integrated circuits. Workshop on Affordable Design and Production of Mixed-Signal ASICs for Small and Medium Enterprises (SMEs) Mixed Signal-ASICs: From design to good integrated circuits Workshop on Affordable Design and Production of Mixed-Signal ASICs for Small and Medium Enterprises (SMEs) Abstract When the engineer has finished

More information

EPTC 2017 Panel Session Packaging Challenges & Opportunities of 5G-mm Wave Technology

EPTC 2017 Panel Session Packaging Challenges & Opportunities of 5G-mm Wave Technology EPTC 2017 Panel Session Packaging Challenges & Opportunities of 5G-mm Wave Technology Moderator : Dr. Rick Sturdivant, Department of Engineering and Computer Science, Azusa Pacific University, USA. Dr.

More information

Industrial Applications and Challenges for Verifying Reactive Embedded Software. Tom Bienmüller, SC 2 Summer School, MPI Saarbrücken, August 2017

Industrial Applications and Challenges for Verifying Reactive Embedded Software. Tom Bienmüller, SC 2 Summer School, MPI Saarbrücken, August 2017 Industrial Applications and Challenges for Verifying Reactive Embedded Software Tom Bienmüller, SC 2 Summer School, MPI Saarbrücken, August 2017 Agenda 2 Who am I? Who is BTC Embedded Systems? Formal Methods

More information

Cost Estimation as an Intensive Human Interactive Systems Engineering Problem

Cost Estimation as an Intensive Human Interactive Systems Engineering Problem Cost Estimation as an Intensive Human Interactive Systems Engineering Problem David Bloom Robert Wright Danny Polidi David Scott Wanda Grant Copyright 2015 Raytheon Company. All rights reserved. Customer

More information

VERIFICATION HORIZONS

VERIFICATION HORIZONS A College Championship, Our NBA Finals Expectations, and Dreaded Feature Creep By Tom Fitzpatrick, Editor and Verification Technologist VERIFICATION HORIZONS A PUBLICATION OF MENTOR, A SIEMENS BUSINESS

More information

Introduction to adoption of lean canvas in software test architecture design

Introduction to adoption of lean canvas in software test architecture design Introduction to adoption of lean canvas in software test architecture design Padmaraj Nidagundi 1, Margarita Lukjanska 2 1 Riga Technical University, Kaļķu iela 1, Riga, Latvia. 2 Politecnico di Milano,

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

Simulation + Emulation = Verification Success

Simulation + Emulation = Verification Success Simulation + Emulation = Verification Success If you haven t noticed it s the age of the SoC, though it wasn t always so. Consider the example of personal computing, an era quickly fading into history

More information

MEDEA+ and Embedded Systems

MEDEA+ and Embedded Systems MEDEA+ and Embedded Systems ARTEMIS Annual Conference 2005 Paris Σ! 2365 Jürgen Deutrich Vice Chaiman of the Board MEDEA+ Applications ARTEMIS ANNUAL CONFERENCE 2005 1. About MEDEA+ 2. MEDEA+ Projects

More information

ENGAGE MSU STUDENTS IN RESEARCH OF MODEL-BASED SYSTEMS ENGINEERING WITH APPLICATION TO NASA SOUNDING ROCKET MISSION

ENGAGE MSU STUDENTS IN RESEARCH OF MODEL-BASED SYSTEMS ENGINEERING WITH APPLICATION TO NASA SOUNDING ROCKET MISSION 2017 HAWAII UNIVERSITY INTERNATIONAL CONFERENCES SCIENCE, TECHNOLOGY & ENGINEERING, ARTS, MATHEMATICS & EDUCATION JUNE 8-10, 2017 HAWAII PRINCE HOTEL WAIKIKI, HONOLULU, HAWAII ENGAGE MSU STUDENTS IN RESEARCH

More information

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN ISSN 2229-5518 159 EFFICIENT AND ENHANCED CARRY SELECT ADDER FOR MULTIPURPOSE APPLICATIONS A.RAMESH Asst. Professor, E.C.E Department, PSCMRCET, Kothapet, Vijayawada, A.P, India. rameshavula99@gmail.com

More information

INTEGRATED DESIGN & TEST

INTEGRATED DESIGN & TEST National Instruments PCB Austin 2008 INTEGRATED DESIGN & TEST Vincent Accardi General Manager National Instrument Electronics Workbench Group ni.com/multisim 1 National Instruments Electronics Workbench

More information

Summary report: Innovation, Sciences and Economic Development Canada s roundtable on advanced robotics and intelligent automation

Summary report: Innovation, Sciences and Economic Development Canada s roundtable on advanced robotics and intelligent automation Summary report: Innovation, Sciences and Economic Development Canada s roundtable on advanced robotics and intelligent automation Growing the Canadian Advanced Robotics and Intelligent Automation Sector

More information

System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI

System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.5, NO.4, DECEMBER, 2005 229 System Level Architecture Evaluation and Optimization: an Industrial Case Study with AMBA3 AXI Jong-Eun Lee*, Woo-Cheol

More information

Mathys & Squire. Agri-Tech Intellectual Property Rights in Europe. mathys-squire.com

Mathys & Squire. Agri-Tech Intellectual Property Rights in Europe. mathys-squire.com Mathys & Squire Agri-Tech Intellectual Property Rights in Europe mathys-squire.com About Mathys & Squire Ranked Tier 1 in Legal 500, Mathys & Squire is one of Europe s most highly regarded intellectual

More information

Addressing the Challenges of Radar and EW System Design and Test using a Model-Based Platform

Addressing the Challenges of Radar and EW System Design and Test using a Model-Based Platform Addressing the Challenges of Radar and EW System Design and Test using a Model-Based Platform By Dingqing Lu, Agilent Technologies Radar systems have come a long way since their introduction in the Today

More information

Computer Science as a Discipline

Computer Science as a Discipline Computer Science as a Discipline 1 Computer Science some people argue that computer science is not a science in the same sense that biology and chemistry are the interdisciplinary nature of computer science

More information

Q&A with Jaime Hildreth

Q&A with Jaime Hildreth JANUARY 2018 V OL. 6 ISS U E 160 R E P O R T Q&A with Jaime Hildreth Managing Director, Head of PE & LP Market Strategy at Ipreo. Principle Series: Family Office Insights sits down with Jaime Hildreth,

More information

Vorwerk Thermomix C O N S U L T A N C Y C A S E S T U D Y

Vorwerk Thermomix C O N S U L T A N C Y C A S E S T U D Y Vorwerk Thermomix C O N S U L T A N C Y C A S E S T U D Y OVERVIEW Click to add text SCALING AN ONLINE COMMUNITY TO A GLOBAL LEVEL Since the release of the Thermomix, a powerful food processor, Vorwerk

More information

BIM FOR INFRASTRUCTURE THE IMPACT OF TODAY S TECHNOLOGY ON BIM

BIM FOR INFRASTRUCTURE THE IMPACT OF TODAY S TECHNOLOGY ON BIM BIM for Infrastructure The Impact of Today s Technology on BIM 1 BIM FOR INFRASTRUCTURE THE IMPACT OF TODAY S TECHNOLOGY ON BIM How Technology can Transform Business Processes and Deliver Innovation 8

More information

SRII SRII Management Team

SRII SRII Management Team SRII Management Team SRII President: Kris Singh, IBM Services Research Kris Singh is the Director of Strategic Programs for Service Research at IBM Almaden Research Center in San Jose, CA. Kris has over

More information

PATENT ATTORNEYS TRADE MARK ATTORNEYS

PATENT ATTORNEYS TRADE MARK ATTORNEYS PATENT ATTORNEYS TRADE MARK ATTORNEYS 02 INDEPENDENT THINKING. COLLECTIVE EXCELLENCE. Your intellectual property assets are of great value to you. To help you to secure, protect and exploit them, you need

More information

Winners of the McRock IIoT Awards 2018 Announced

Winners of the McRock IIoT Awards 2018 Announced June 20, 2018 Winners of the McRock IIoT Awards 2018 Announced Toronto, Ontario--(June 21, 2018) - McRock Capital, a leading venture capital fund manager focused exclusively on the Industrial Internet

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Executive Summary. Chapter 1. Overview of Control

Executive Summary. Chapter 1. Overview of Control Chapter 1 Executive Summary Rapid advances in computing, communications, and sensing technology offer unprecedented opportunities for the field of control to expand its contributions to the economic and

More information

A Balanced Introduction to Computer Science, 3/E

A Balanced Introduction to Computer Science, 3/E A Balanced Introduction to Computer Science, 3/E David Reed, Creighton University 2011 Pearson Prentice Hall ISBN 978-0-13-216675-1 Chapter 10 Computer Science as a Discipline 1 Computer Science some people

More information

Top-Down Design of Mixed-Signal Circuits

Top-Down Design of Mixed-Signal Circuits Top-Down Design of Mixed-Signal Circuits Ken Kundert Cadence Design Systems, San Jose, California, USA Abstract With mixed-signal designs becoming more complex and time-to-market windows shrinking, designers

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

Séminaire Supélec/SCEE

Séminaire Supélec/SCEE Séminaire Supélec/SCEE Models driven co-design methodology for SDR systems LECOMTE Stéphane Directeur de thèse PALICOT Jacques Co-directeur LERAY Pierre Encadrant industriel GUILLOUARD Samuel Outline Context

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE. Albuquerque December 6, 2011 Phoenix December 8, Register for FREE

LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE. Albuquerque December 6, 2011 Phoenix December 8, Register for FREE LEARN REAL-TIME & EMBEDDED COMPUTING CONFERENCE Albuquerque December 6, 2011 Phoenix December 8, 2011 Register for FREE Today @ www.rtecc.com welcome to RTECC DIRECTLY CONNECTING YOU AND THE NEW ERA OF

More information

ACE3 Working Group Session, March 2, 2005

ACE3 Working Group Session, March 2, 2005 ACE3 Working Group Session, March 2, 2005 Intensive s The Synergy of Architecture, Life Cycle Models, and Reviews Dr. Peter Hantos The Aerospace Corporation 2003-2005. The Aerospace Corporation. All Rights

More information

Scientific (super)computing in the electronics industry

Scientific (super)computing in the electronics industry Scientific (super)computing in the electronics industry Wil Schilders Centre for Analysis, Scientific Computing and Applications & Platform Wiskunde Nederland SARA Superdag, December 1, 2010 Centre for

More information

HP Laboratories. US Labor Rates for Directed Research Activities. Researcher Qualifications and Descriptions. HP Labs US Labor Rates

HP Laboratories. US Labor Rates for Directed Research Activities. Researcher Qualifications and Descriptions. HP Labs US Labor Rates HP Laboratories US Labor Rates for Directed Research Activities This note provides: Information about the job categories and job descriptions that apply to HP Laboratories (HP Labs) research, managerial

More information

Network Event Bulletin

Network Event Bulletin Network Event Bulletin FPGA Network Meeting : Moving Beyond RTL University of Hertfordshire, 21 st January 2015 The first FPGA Network meeting of the year was kindly hosted by the Engineering and Technology

More information

Privacy and the EU GDPR US and UK Privacy Professionals

Privacy and the EU GDPR US and UK Privacy Professionals Privacy and the EU GDPR US and UK Privacy Professionals Independent research conducted by Dimensional Research on behalf of TrustArc US 888.878.7830 EU +44 (0)203.078.6495 www.trustarc.com 2017 TrustArc

More information

THEFUTURERAILWAY THE INDUSTRY S RAIL TECHNICAL STRATEGY 2012 INNOVATION

THEFUTURERAILWAY THE INDUSTRY S RAIL TECHNICAL STRATEGY 2012 INNOVATION 73 INNOVATION 74 VISION A dynamic industry that innovates to evolve, grow and attract the best entrepreneurial talent OBJECTIVES Innovation makes a significant and continuing contribution to rail business

More information

Industrial Experience with SPARK. Praxis Critical Systems

Industrial Experience with SPARK. Praxis Critical Systems Industrial Experience with SPARK Roderick Chapman Praxis Critical Systems Outline Introduction SHOLIS The MULTOS CA Lockheed C130J A less successful project Conclusions Introduction Most Ada people know

More information

Agenda. FPGA Network Safety, Certification & Security. Thursday 19 th May University of Hertfordshire. Sponsored by

Agenda. FPGA Network Safety, Certification & Security. Thursday 19 th May University of Hertfordshire. Sponsored by FPGA Network Safety, Certification & Security Thursday 19 th May University of Hertfordshire Agenda 9:30 Registration & Coffee, Networking and Table-tops Sponsored by 10.00 Welcome and introduction - Prof

More information

DIGITAL TRANSFORMATION LESSONS LEARNED FROM EARLY INITIATIVES

DIGITAL TRANSFORMATION LESSONS LEARNED FROM EARLY INITIATIVES DIGITAL TRANSFORMATION LESSONS LEARNED FROM EARLY INITIATIVES Produced by Sponsored by JUNE 2016 Contents Introduction.... 3 Key findings.... 4 1 Broad diversity of current projects and maturity levels

More information

EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities

EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities EUROCHIP-EUROPRACTICE 20 Years of Design Support for European Universities Carl Das Europractice Service imec Leuven, Belgium Carl.Das@imec.be John McLean Europractice Service STFC/Rutherford Appleton

More information

Investment Banking Career Advice

Investment Banking Career Advice CFA PART TIME PROGRAMME with FREE Comprehensive Investment Banking Career Advice Pass or Take the Next Training Free Free Comprehensive Career Advice QAA Reviewed Quality Assurance Agency for Higher Education

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc.

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc. Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications Larry E. Kendrick, PhD The MathWorks, Inc. Senior Principle Technical Consultant Introduction What s MBD? Why do it? Make

More information

Double long-haul and ultra-long-haul capacity with Nokia Super Coherent Technology

Double long-haul and ultra-long-haul capacity with Nokia Super Coherent Technology Double long-haul and ultra-long-haul capacity with Nokia Super Coherent Technology Photonic Service Engine 2 100G transmission revolutionized long-haul DWDM transport by dramatically increasing capacity

More information

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder

Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.9, NO.4, DECEMBER, 2009 187 Design of High-Performance Intra Prediction Circuit for H.264 Video Decoder Jihye Yoo, Seonyoung Lee, and Kyeongsoon Cho

More information

The role of testing in verification and certification Kerstin Eder

The role of testing in verification and certification Kerstin Eder The role of testing in verification and certification Kerstin Eder Design Automation and Verification, Microelectronics [and Trustworthy Systems Laboratory] Verification and Validation for Safety in Robots,

More information

Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development

Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development Shandong Government Suggestions on Implementing New Document 4 to Speed up IC Industry Development Guiding Ideas, Basic Principles and Development Goals: 1. Guiding ideas: Implement plans and policies

More information

Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka

Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Simulation Performance Optimization of Virtual Prototypes Sammidi Mounika, B S Renuka Abstract Virtual prototyping is becoming increasingly important to embedded software developers, engineers, managers

More information

Report to Congress regarding the Terrorism Information Awareness Program

Report to Congress regarding the Terrorism Information Awareness Program Report to Congress regarding the Terrorism Information Awareness Program In response to Consolidated Appropriations Resolution, 2003, Pub. L. No. 108-7, Division M, 111(b) Executive Summary May 20, 2003

More information

IEEE-SA Overview. Don Wright IEEE Standards Association Treasurer. CCSA/IEEE-SA Internet of Things Workshop 5 June 2012 Beijing, China

IEEE-SA Overview. Don Wright IEEE Standards Association Treasurer. CCSA/IEEE-SA Internet of Things Workshop 5 June 2012 Beijing, China IEEE-SA Overview Don Wright IEEE Standards Association Treasurer CCSA/IEEE-SA Internet of Things Workshop 5 June 2012 Beijing, China IEEE Today The world s largest professional association advancing technology

More information

PATENT ATTORNEYS TRADE MARK ATTORNEYS

PATENT ATTORNEYS TRADE MARK ATTORNEYS PATENT ATTORNEYS TRADE MARK ATTORNEYS INDEPENDENT THINKING. COLLECTIVE EXCELLENCE. Your intellectual property assets are of great value to you. To help you to secure, protect and exploit them, you need

More information

International Technology Roadmap for Wide Band-gap Power Semiconductor ITRW

International Technology Roadmap for Wide Band-gap Power Semiconductor ITRW International Technology Roadmap for Wide Band-gap Power Semiconductor ITRW 3D-PEIM Braham Ferreira, PELS President June 14, 2016 Wide Band-gap devices: the driving force to the next electronic industry.

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

Higher School of Economics, Vienna

Higher School of Economics, Vienna Open innovation and global networks - Symposium on Transatlantic EU-U.S. Cooperation on Innovation and Technology Transfer 22nd of March 2011 - Dr. Dirk Meissner Deputy Head and Research Professor Research

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

presence here is indicative of the international importance of

presence here is indicative of the international importance of #4319Y Draft #5 - F SUPERCOMPUTER SEMINAR Robert M. Price October 19, 1983 I. INTRODUCTION Good morning. First of all thanks to each of you for being here. In view of your busy and demanding schedules,

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information