Determination of Propagation Constants of Transmission Lines using 1 -port TDR measurements

Size: px
Start display at page:

Download "Determination of Propagation Constants of Transmission Lines using 1 -port TDR measurements"

Transcription

1 Determination of Propagation Constants of Transmission Lines using 1 -port TDR measurements Woopoung Kim, Seock Hee Lee, Man Cheol Seo, Madhavan Swaminathan**, and R. R. Tummala- Packaging Research Center, School of Electrical & Computer Eng. Georgia Institute of Technology, Atlanta, GA 30332, USA Tel) , fax) ~ozisi@ieee.org, **n2an havati.swnminathan~~ece.gatec~i.en u, it tshlee@ece.gatech.edii, rao.tummala@ee.gatech.edu ABSTRACT : The propagation constants of transmission lines were measured from 1-port TDR measurements. Since the TDR measurement is a 1-port measurement, error can be smaller than 2-port measurement techniques. Moreover, the available frequency is determined by the rise time of the TDR step pulse unlike TRL methods. The propagation constant of a lossy transmission line was extracted from DC to 1OG& Simulation of the lossy transmission line using the extracted propagation constant shows good agreement with TDR measurement, demonstrating the accuracy of the TDR measurement technique. I. INTRODUCTION The GHz clock signal in digital circuits requires exact simulation of transmission limes in the time domain. Signal integrity is a major problem for the time domain response of digital circuits. The simulation of transmission lines for digital circuits, therefore, needs good models extracted from the measurement of transmission limes. In [I], the simulation of lossy transmission lines using nonphysical RLGC models bas been discussed. model requires the extraction of the characteristic impedance and propagation constant of transmission lines to construct the non-physical RLGC models. The extraction of the frequency-dependent characteristic impedance was introduced using 1-port TDR measurements in [2]. In this paper, the measurement of the propagation constant of the transmission lines using TDR measurements has been discussed. Using the measured characteristic impedance and propagation constant, the time domain wavefnrm has been simulated, which shows good agreement with measurements. Since the propagation constant is a parameter in the 6equency domain, most of the propagation constant measurements has been performed using Network Analyzer (NA) in the past [3]. Well-developed calibration methods such as Tbru-Reflect-Line (TRL,) in NA have resulted in good measurement of the propagation constant. However, since NA measurements assume that the characteristic impedance of the transmission line to be measured is 50 ohms, which is the impedance of the NA, error can be introduced in the extraction[4]. Several time domain techniques for measuring the propagation constant have been developed using TDmT measurements [5][6]. However, due to the difficulty of calibration in the lime domain, the time domain techniques have been only thought as alternatives to the expensive NA. In this paper, TDR was used for characterizing the propagation constant. While NA can measure only the steady state response of the Device Under Test(DUT), TDR can measure both the transient response and steadystate response. From the transient response, the frequencydependent characteristic impedance can be extracted [2], and 6om the steady-state response, the propagation constant can be measured as shown in this paper. The advantages of using TDR for the measurement of the propagation constant are i) TDR is a one-port measurement. The measurement error is smaller than twoport measurements. ii) There is no frequency limitation due to the length of the transmission lines in measuring the propagation constant. The available maximum fiequency is determined by the rise time of the TDR pulse. For a 36 ps rise time pulse, the maximum frequency is around 15GHz. iii) TDR can extract the propagation constant of transmission limes with arbitrary characteristic impedances. U. EXPERIMENTAL SETUP TDR was initially developed for locating faults on long electrical systems such as telephone wires and network lines. TDR represents the reflected time signamre of an incident step waveform that can be used to extract the characteristics of the Device Under Test (DUT), as shown in Fig. 1. TDR measurements display the round trip electrical delay of cables and the DUT. The size of the discontinuity that can be characterized is a fimction of the risetime of the step pulse. Commercial TDR equipment such as 60m Tektronix supports a risetime of 391s with a 250mV amplitude pulse /02/$ IEEE. 119

2 r'(r)= (3) where r'(t) and p'(t) are the derivatives of the reflected and incident waveforms, respectively. Using the Z- transform of equation (3), U Fig.1. Experimental Setup. III.Conversion to ffequency domain A few methods have been developed in the past to extract the frequency response of the DUT using TDR, details of which are available in [7][5]. In [7], Fourier Transform techniques have been used to extract the impulse response of the DUT using the relation where H(o) is the impulse response of the DUT, R(w) is the Fourier transform of the reflected signal and P(w) is the Fourier transform of the incident signal. However, this method did not use calibration structures to de-embed discontinuities and parasitics, resulting in error in the extracted frequency response. In [5], a method was demonstrated for extracting the impulse response through rational functions by using thru and short calibration. In this paper, a method is discussed for extracting the impulse response kom TDR measurements by using open, short and load calibration. This method is more robust and produced better results as compared to [5] for extracting the frequency dependent characteristic impedance of transmission limes. If the DUT is a linear time-invariant system, the derivative of the incident signal p(t) can be thought of as a virtual signal generated by the sampling bead. Similarly, the derivative of r(t), the reflected waveform, can he thought of as a virtual signal reflected by the DUT. In the time domain, m = p(0 8 MO (2) where 0 is the convolution operator and h(t) is the impulse response of the DUT. Taking the derivative of equation (2) R'(Z) = P'(Z) H(Z) (4) where the Z-transform of a discrete signal, X(Z), is defmed as 01 X(Z) = Cx(n)z-' n=o In equation (5), T, is the sampling interval, x(n) are the discrete samples and w is the angular frequency. The sampling interval, Ts, determines the bandwidth that can he obtained using the method discussed in this paper. A smaller sampling interval will produce a larger frequency bandwidth. Typically, OSps sampling time was used in this paper. IV. CALIBRATION OF TDR High Frequency measurements require the specification of reference planes. A DUT is always characterized at or hetween reference planes for a I-port or 2-port measurement, respectively. Calibration structures are required to de-embed parasitics and discontinuities fiom the measurements at the reference planes. Calibration shuctures such as short, open, load, thru, reflect, line, etc are often used in measurements. De-embedding using a subset of the calibration structures have been developed by the microwave community. For example, calibration using short-open-load-thru (SOLT) and thru-reflect-he (TRL) have been developed for network analysis. In this paper we have used the Short-Open-Load calibration for 1-port TDR measurements. As mentioned earlier, the parasitics and other discontinuities affect the accuracy of the measurements. For a 1-port TDR measurement, an error model using a signal flow graph can be constructed as shown in Fig. 3 [SI. In the Figure, x, y and z are the parameters calculated from the open, short and 50 ohm load calibration measurements. SllA is the response of the DUT and SllM is the measured response which includes the parasitic effect caused by error variables, x, y and z. From Fig. 3, using the signal flow graph, the fiequency response of the DUT can be derived as 120

3 SI," = SI, --x ys,, -xy+r RF in - Fig.3. Error model for calibration. If' the open, short and load measurements are expressed as SIIMO, Slim and Slim respectively, the variables x, y and z in equation (6) can be computed as : Once x, y and z are computed, these parameters can be used to calibrate the DUT using equation (6). This is similar to the 1-port calibration method used in a Network Analyzer. An interesting point to note in equations (6) and (7) is that the incident signal, dt), need not be measured. Since S-parameters are expressed as the ratio (reflected signavmcident signal), the reference signal in equations (6) and (7) are cancelled. For example, if the reflected waveforms from the open, short, load and DUT are known, the calibrated frequency response of the DUT can be extracted without measuring the incident signal. In addition, linear operations on the four signals such as differentiation and integration do not change the results in the extraction procedure. Hence, using the method described in the previous section, the derivatives of the signals have been used to compute SIIA, using equations (6) and (7). When the TDR is calibrated with a SMA-connector calibration kit (Open-Short-Load), the reference planes of Open, Short and Load are almost at the same location. However, for micro-probes, the reference planes can be different depending on the physical structures of the probes. A delay of -3 ps between an Open-standard and a Short-standard could be observed on the TDR measurement in this paper. Therefore for the probe calibration an extra calibration structure is required to adjust the delay of the Open-standard signal. In this paper a coplanar transmission line with a short end was used for calibration. When the Short-line was measured after the Open, Short, and Load calibration, the amplitude of the S- parameter could be larger than one due to the delay between the Open and Short standards. The inaccuracy in the delay between the Open and Short standards can move the circle of the Short-lime up and down on the smith chart. This is a deviation from the S-parameter response of an ideal Short-line which is a circle with the center at the origin. Hence, using the Short-line, the optimum delay of the Open was extracted in order for the Short-line to have its ideal response. V. De-embedding of pad transitions When SMA connectors or pads for probes are used to connect transmission lines to TDR equipment, parasitic inductances and capacitances in the transitions affect the extracted characteristic impedance in the RF frequency range. Hence, de-embedding of the pad transitions is required for the extraction of the characteristic impedance. In this paper, a model based on the physical structure of the pads has been used. The advantage of this model is that the dsembeddmg problem becomes a one-variable minimization problem on the Smith Chart, which implies that the deembedding algorithm is robust and accurate if the physical model is valid [Z]. Rd d Fig. 4. Pad modeling. (a) physical structure (b) physical pad model. Fig. 4 shows the physical modeling of the pads and vias for a microstrip line. A capacitor between the two pads, a capacitor between the center pad and the ground plane, and an inductor for vias are the parasitic components in the transition. Since all these parasitic components are lumped in our model, the valid frequency range for this model is around 15GHz for typical pad dimensions. Above this frequency, the model is invalid due to the distributed transmission line effects. Since large pads and vias add large discontinuities, smaller pads and vias are recommended for obtaining a larger bandwidth in the 121

4 6equency response. It is important to note that the extracted pad parasitics L, C1, and C2 in Fig. 4(b) are frequency dependent parameters. the simulated measurement data generated 60m the extracted values. This produced the same values as the original results. The maximum frequency at which this physical de-embedding technique is valid depends on the physical dimensions of the pad transitions. As a rule of thumb, the maximum 6equency for 5 mm length pad transitions is -3GHz. For 1 mm length pad transitions the maximum frequency is -7 GHz and is >loghz for 300 um length pad transitions W. COPLNAR LINES as > ~. ' ,' Fig. 5. Pad transition on Smith Chart To extract the frequency dependent values for the pad parasitics, the behavior of the pad transition model on the Smith Chart is first investigated at a frequency. The characteristic impedance of the transmission lme is plotted as (Pl) on the Smith Chart in Fig. 5. The capacitance, C2 rotates the point (Pl) downward to (P2) along the circle determined by the characteristic impedance. Inductance L rotates the point (P2) to (P3) along the circle shown in Fig. 5. Finally, capacitance C1 rotates the point (P3) to the measurement point (F'4) along the circle determined by the measurement impedance at the given frequency. On the Smith chart, the only unknown variable is the circle related to the inductance L that needs to he determined Since this is a onevariable minimization problem, the results are repeatable. Since the characteristic impedance varies with frequency, the characteristic impedance at a frequency, for example IO=, can be assumed the same as the characteristic impedance at DC, which is displayed on the TDR instrument. In addition, the parasitics, C1, L and C2 at low frequency also do not vary rapidly since the values are based on physical dimensions. From the characteristic impedance at lomhz (Pl) and the measurement at lomhz (P4), the parasitics, C1, L, and C2 can he determined by " izmg the norm of the error between the measured and simulated values at the next frequency. The simulated values are based on the C1, L, C2, and characteristic impedance values calculated at the previous frequency. The algorithm is based on a leapfrog scheme which leads to good results. To ensure that the extracted characteristic impedance and parasitics, C1, L, and C2 are repeatable, the same procedure was repeated again with The coplanar line in Fig. 6 was measured using TDR for extracting the characteristic impedance and propagation constant. The width and thickness of the center conductor is 5 mils and 1 mil, respectively. The gaps between the center conductor and the ground conductors are 3 mils. There is a metal plane with 10 um thickness on the bottom layer with a dielectric of Er = 3.8 and loss tangent = 0.02 above the bottom metal layer. The metal pattern in Fig. 6 was deposited on the dielectric layer. Using the deembedding algorithm and TDR measurements[2], the frequency-dependent characteristic impedance of the coplanar lme was extracted as shown in Fig ,.;.....,. Fig. 6. A coplanar line to he characterized. n m.6 m 26.6 I U L 8 T 8 9 IO FWWW fie extracted frequency-dependent characteristic impedance of a coplanar lme using the deembedding algorithm[2]. 122

5 ~~~~~~ w. mopagatlon CONSTANT For measuring the propagation constant of the coplanar line, two coplanar lines with different lengths and same cross-section were measured. The ends of the two lines were terminated to grounds. Fig. 8 shows the TDR measurements of the two limes. The length of the solid line is 1938 mils, and the length of the dotted line 2242 mils. 0 E 4.05 P f Using (9), the propagation constant of the transmission lime can be extracted as shown in Fig. 9 without consideration on the load of the transmission line. Although the result is not related to the load short termination is preferable to open termination. To verify the propagation constant in Fig. 9 and the characteristic impedance in Fig. 7, a transmission line with the same cross-section was simulated using a nonphysical RLGC model [ 11 to compare with the extracted data fiom the TDR measurement. The length of the transmission line was 5cm and the end of the transmission line was connected to ground. Fig. 10 shows the simulation waveform and TDR measurement. The two waveforms show good agreement verifyiig the accuracy of the propagation constant and characteristic impedance Timernsl.~~~. Fig. 8. TDR measurements of two coplanar lines. The lengths of the solid lime and dotted line are 1938 mils and 2242 mils, respectively. :LZ?rl To extract the propagation constant, the total reflection coefficients of the two lines seen fiom the ends on the source side, need to he determined using the calibration procedure discussed in section IV. The total input reflection coefficients can be comuuted using - the frequency-dependent characteristic impedance in Fig. 7 after de-embedding - the Dad oarasitics for the two lines. 1. The total input reflection coeficients can he expressed as: U ' Fr~lIGtkl ( FreqIGW Fig, 9, Propagation constant of the coplanar lime, where rland Tz are the total input reflection coefficients of the short and long lines, respectively. rl is the reflection coefficient of the transmission lime and the load. y is the propagation constant and II, /z are the lengths of the transmission limes. Dividing the two input reflection coefficient results in the propagation constant: ( nm[nri -w* 1 r2 Y= In(-) -4) r, (9) Fig. 10. Comparison with simulation using the extracted propagation constant and characteristic impedance using TDR measurement. 123

6 VIII. CONCLUSION The propagation constant was extracted using 1-port TDR measurements. This method has several advantages. Since TDR is a 1-port measurement, the induced measurement error can be smaller than two-port measurements. The available frequency of the extracted propagation constant is determined by the rise-time of the TDR step pulse. However, there is no error in the extracted propagation constant due to the characteristic impedance of the transmission line. REFERENCES [l] W. Kim and M. Swaminathan, Validity of Non-Physical RLGC models for Simulating Lossy Transmission lines, accepted by AP-S [2] W. Kim, S. H. Lee, M. Swaminathan, and R. R. Tummala, Robust Extraction of the Frequency-Dependent Characteristic lmpedance of Transmission Lines using Oneport TDR Measurements, IEEE I d Topical Meeting on Eleenical Performance of Elecwonic Packaging, pp , Oct R.B. Marks, A multiline method of network analyzer calibration, EEE Trans. Microwave Theory and Tech., v. 39,pp , July D.C. DeGroot, D.K. Walker, and R.B. Marks, ImDedance mismatch effects on propagation constant measurwents, 5lh EPEP Conference, pp , Oct , M. Swaminathan, S. Pannala, and T. Roy, Extraction of frequency dependent transmission line parameters using TDR/TDT measurements, 18* Instrumentation and Measurement Tech. Cod. (IMTC 2001), vol. 3, pp ,2001. R B. Marks, L. A. Hayden, J. A. Jargon, and F. Williams, Time Domain network analysis using the multiline TRL calibration, 44* ARFTG Conference Digest, pp , Dec. 1-2,1994. R Nozaki and T.K.Bose, Measurement of the dielectric properties of materials by using Time Domain Reflectrometry, IEEE IMTC-90, pp , Feb [8] Hewlett-Pach4 Automating the HP 8410B microwave network Analyzer, Application Note 221A. June

Extraction of Frequency Dependent Transmission Line Parameters Using TDIUTDT Measurements

Extraction of Frequency Dependent Transmission Line Parameters Using TDIUTDT Measurements IEEE Instrumentation and Measurement Technology Conference Budapest, Hungary, May 21-23,2001. Extraction of Frequency Dependent Transmission Line Parameters Using TDIUTDT Measurements Madhavan Swaminathan',

More information

HIGH-SPEED integrated circuits require accurate widebandwidth

HIGH-SPEED integrated circuits require accurate widebandwidth 526 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 30, NO. 3, AUGUST 2007 Characterization of Co-Planar Silicon Transmission Lines With and Without Slow-Wave Effect Woopoung Kim, Member, IEEE, and Madhavan

More information

Validation & Analysis of Complex Serial Bus Link Models

Validation & Analysis of Complex Serial Bus Link Models Validation & Analysis of Complex Serial Bus Link Models Version 1.0 John Pickerd, Tektronix, Inc John.J.Pickerd@Tek.com 503-627-5122 Kan Tan, Tektronix, Inc Kan.Tan@Tektronix.com 503-627-2049 Abstract

More information

Challenges and Solutions for Removing Fixture Effects in Multi-port Measurements

Challenges and Solutions for Removing Fixture Effects in Multi-port Measurements DesignCon 2008 Challenges and Solutions for Removing Fixture Effects in Multi-port Measurements Robert Schaefer, Agilent Technologies schaefer-public@agilent.com Abstract As data rates continue to rise

More information

Time Domain Reflectometry (TDR) and Time Domain Transmission (TDT) Measurement Fundamentals

Time Domain Reflectometry (TDR) and Time Domain Transmission (TDT) Measurement Fundamentals Time Domain Reflectometry (TDR) and Time Domain Transmission (TDT) Measurement Fundamentals James R. Andrews, Ph.D., IEEE Fellow PSPL Founder & former President (retired) INTRODUCTION Many different kinds

More information

Aries Kapton CSP socket

Aries Kapton CSP socket Aries Kapton CSP socket Measurement and Model Results prepared by Gert Hohenwarter 5/19/04 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4 MEASUREMENTS...

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Student Research & Creative Works

Student Research & Creative Works Scholars' Mine Masters Theses Student Research & Creative Works Summer 2010 Time-domain thru-reflect-line (TRL) calibration error assessment and its mitigation and modeling of multilayer printed circuit

More information

High Speed Characterization Report

High Speed Characterization Report SSW-1XX-22-X-D-VS Mates with TSM-1XX-1-X-DV-X Description: Surface Mount Terminal Strip,.1 [2.54mm] Pitch, 13.59mm (.535 ) Stack Height Samtec, Inc. 25 All Rights Reserved Table of Contents Connector Overview...

More information

Measuring PCB, Cable and Interconnect Impedance, Dielectric Constants, Velocity Factor, and Lengths

Measuring PCB, Cable and Interconnect Impedance, Dielectric Constants, Velocity Factor, and Lengths Measuring PCB, Cable and Interconnect Impedance, Dielectric Constants, Velocity Factor, and Lengths Controlled impedance printed circuit boards (PCBs) often include a measurement coupon, which typically

More information

There is a twenty db improvement in the reflection measurements when the port match errors are removed.

There is a twenty db improvement in the reflection measurements when the port match errors are removed. ABSTRACT Many improvements have occurred in microwave error correction techniques the past few years. The various error sources which degrade calibration accuracy is better understood. Standards have been

More information

Improving TDR/TDT Measurements Using Normalization Application Note

Improving TDR/TDT Measurements Using Normalization Application Note Improving TDR/TDT Measurements Using Normalization Application Note 1304-5 2 TDR/TDT and Normalization Normalization, an error-correction process, helps ensure that time domain reflectometer (TDR) and

More information

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D. Mobile:

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D.   Mobile: Wafer-Level Calibration & Verification up to 750 GHz Choon Beng Sia, Ph.D. Email: Choonbeng.sia@cmicro.com Mobile: +65 8186 7090 2016 Outline LRRM vs SOLT Calibration Verification Over-temperature RF calibration

More information

DEVELOPMENT OF TIME DOMAIN CHARACTERIZATION METHODS FOR PACKAGING STRUCTURES. A Thesis Presented to The Academic Faculty by Sreemala Pannala

DEVELOPMENT OF TIME DOMAIN CHARACTERIZATION METHODS FOR PACKAGING STRUCTURES. A Thesis Presented to The Academic Faculty by Sreemala Pannala DEVELOPMENT OF TIME DOMAIN CHARACTERIZATION METHODS FOR PACKAGING STRUCTURES A Thesis Presented to The Academic Faculty by Sreemala Pannala In Partial Fulfillment of the Requirements for the Degree Doctor

More information

High Speed Characterization Report

High Speed Characterization Report QTH-030-01-L-D-A Mates with QSH-030-01-L-D-A Description: High Speed Ground Plane Header Board-to-Board, 0.5mm (.0197 ) Pitch, 5mm (.1969 ) Stack Height Samtec, Inc. 2005 All Rights Reserved Table of Contents

More information

Aries QFP microstrip socket

Aries QFP microstrip socket Aries QFP microstrip socket Measurement and Model Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4

More information

Aries CSP microstrip socket Cycling test

Aries CSP microstrip socket Cycling test Aries CSP microstrip socket Cycling test RF Measurement Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents TABLE OF CONTENTS... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 6 Setup...

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Design and experimental realization of the chirped microstrip line

Design and experimental realization of the chirped microstrip line Chapter 4 Design and experimental realization of the chirped microstrip line 4.1. Introduction In chapter 2 it has been shown that by using a microstrip line, uniform insertion losses A 0 (ω) and linear

More information

Extraction of Broadband Error Boxes for Microprobes and Recessed Probe Launches for Measurement of Printed Circuit Board Structures

Extraction of Broadband Error Boxes for Microprobes and Recessed Probe Launches for Measurement of Printed Circuit Board Structures Extraction of Broadband Error Boxes for Microprobes and Recessed Probe Launches for Measurement of Printed Circuit Board Structures, Renato Rimolo-Donadio, Christian Schuster Institut für TU Hamburg-Harburg,

More information

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University DesignCon 2008 Characterization Methodology for High Density Microwave Fixtures Dr. Brock J. LaMeres, Montana State University lameres@ece.montana.edu Brent Holcombe, Probing Technology, Inc brent.holcombe@probingtechnology.com

More information

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Measurement and Model Results prepared by Gert Hohenwarter 12/14/2015 1 Table of Contents TABLE OF CONTENTS...2 OBJECTIVE...

More information

Characterization and Measurement Based Modeling

Characterization and Measurement Based Modeling High-speed Interconnects Characterization and Measurement Based Modeling Table of Contents Theory of Time Domain Measurements.........3 Electrical Characteristics of Interconnects........3 Ideal Transmission

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design

EE290C - Spring 2004 Advanced Topics in Circuit Design EE290C - Spring 2004 Advanced Topics in Circuit Design Lecture #3 Measurements with VNA and TDR Ben Chia Tu-Th 4 5:30pm 531 Cory Agenda Relationships between time domain and frequency domain TDR Time Domain

More information

IEEE CX4 Quantitative Analysis of Return-Loss

IEEE CX4 Quantitative Analysis of Return-Loss IEEE CX4 Quantitative Analysis of Return-Loss Aaron Buchwald & Howard Baumer Mar 003 Return Loss Issues for IEEE 0G-Base-CX4 Realizable Is the spec realizable with standard packages and I/O structures

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

High Speed Characterization Report

High Speed Characterization Report ESCA-XX-XX-XX.XX-1-3 Mated with: SEAF8-XX-05.0-X-XX-2-K SEAM8-XX-S02.0-X-XX-2-K Description: 0.80 mm SEARAY High-Speed/High-Density Array Cable Assembly, 34 AWG Samtec, Inc. 2005 All Rights Reserved Table

More information

High Speed Characterization Report

High Speed Characterization Report HLCD-20-XX-TD-BD-2 Mated with: LSHM-120-XX.X-X-DV-A Description: 0.50 mm Razor Beam High Speed Hermaphroditic Coax Cable Assembly Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable Assembly

More information

High Speed Characterization Report

High Speed Characterization Report PCRF-064-XXXX-EC-SMA-P-1 Mated with: PCIE-XXX-02-X-D-TH Description: PCI Express Cable Assembly, Low Loss Microwave Cable Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable Assembly Overview...

More information

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Russ Kramer O.J. Danzy Simulation What is the Signal Integrity Challenge? Tx Rx Channel Asfiakhan Dreamstime.com - 3d People Communication

More information

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simbeor Application Note #2008_02, April 2008 2008 Simberian Inc. Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simberian, Inc. www.simberian.com Simbeor : Easy-to-Use, Efficient

More information

High Speed Characterization Report

High Speed Characterization Report ERCD_020_XX_TTR_TED_1_D Mated with: ERF8-020-05.0-S-DV-L Description: 0.8mm Edge Rate High Speed Coax Cable Assembly Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable Assembly Overview... 1

More information

Managing Complex Impedance, Isolation & Calibration for KGD RF Test Abstract

Managing Complex Impedance, Isolation & Calibration for KGD RF Test Abstract Managing Complex Impedance, Isolation & Calibration for KGD RF Test Roger Hayward and Jeff Arasmith Cascade Microtech, Inc. Production Products Division 9100 SW Gemini Drive, Beaverton, OR 97008 503-601-1000,

More information

High Speed Characterization Report

High Speed Characterization Report ECDP-16-XX-L1-L2-2-2 Mated with: HSEC8-125-XX-XX-DV-X-XX Description: High-Speed 85Ω Differential Edge Card Cable Assembly, 30 AWG ACCELERATE TM Twinax Cable Samtec, Inc. 2005 All Rights Reserved Table

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

AC-2 Calibration Substrate

AC-2 Calibration Substrate AC-2 Calibration Substrate AC-2 calibration substrate is designed to provide accurate probe tip calibration of MPI TITAN RF probe family with ground-signal-ground (GSG) probe tips configuration and accommodates

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

Investigation of the Double-Y Balun for Feeding Pulsed Antennas

Investigation of the Double-Y Balun for Feeding Pulsed Antennas Proceedings of the SPIE, Vol. 5089, April 2003 Investigation of the Double-Y Balun for Feeding Pulsed Antennas Jaikrishna B. Venkatesan a and Waymond R. Scott, Jr. b Georgia Institute of Technology Atlanta,

More information

TDR Primer. Introduction. Single-ended TDR measurements. Application Note

TDR Primer. Introduction. Single-ended TDR measurements. Application Note Application Note TDR Primer Introduction Time Domain Reflectometry (TDR) has traditionally been used for locating faults in cables. Currently, high-performance TDR instruments, coupled with add-on analysis

More information

Aries Center probe CSP socket Cycling test

Aries Center probe CSP socket Cycling test Aries Center probe CSP socket Cycling test RF Measurement Results prepared by Gert Hohenwarter 10/27/04 1 Table of Contents TABLE OF CONTENTS... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 5 Setup...

More information

High Speed Competitive Comparison Report. Samtec MMCX-J-P-H-ST-TH1 Mated With MMCX-P-P-H-ST-TH1 Competitor A (Mated Set) Competitor B (Mated Set)

High Speed Competitive Comparison Report. Samtec MMCX-J-P-H-ST-TH1 Mated With MMCX-P-P-H-ST-TH1 Competitor A (Mated Set) Competitor B (Mated Set) High Speed Competitive Comparison Report Samtec MMCX-J-P-H-ST-TH1 Mated With MMCX-P-P-H-ST-TH1 Competitor A (Mated Set) Competitor B (Mated Set) REVISION DATE: January 6, 2005 TABLE OF CONTENTS Introduction...

More information

High Speed Characterization Report

High Speed Characterization Report PCIEC-XXX-XXXX-EC-EM-P Mated with: PCIE-XXX-02-X-D-TH Description: 1.00 mm PCI Express Internal Cable Assembly, 30 AWG Twinax Ribbon Cable Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable

More information

New Microstrip-to-CPS Transition for Millimeter-wave Application

New Microstrip-to-CPS Transition for Millimeter-wave Application New Microstrip-to-CPS Transition for Millimeter-wave Application Kyu Hwan Han 1,, Benjamin Lacroix, John Papapolymerou and Madhavan Swaminathan 1, 1 Interconnect and Packaging Center (IPC), SRC Center

More information

High Speed Characterization Report

High Speed Characterization Report LSHM-150-06.0-L-DV-A Mates with LSHM-150-06.0-L-DV-A Description: High Speed Hermaphroditic Strip Vertical Surface Mount, 0.5mm (.0197") Centerline, 12.0mm Board-to-Board Stack Height Samtec, Inc. 2005

More information

THE trend in portable wireless electronics is to combine

THE trend in portable wireless electronics is to combine 258 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY PART B, VOL 21, NO 3, AUGUST 1998 Characterization of Embedded Passives Using Macromodels in LTCC Technology Kwang Lim Choi,

More information

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Photographer: Janpietruszka Agency: Dreamstime.com 36 Conformity JUNE 2007

More information

Gain Lab. Image interference during downconversion. Images in Downconversion. Course ECE 684: Microwave Metrology. Lecture Gain and TRL labs

Gain Lab. Image interference during downconversion. Images in Downconversion. Course ECE 684: Microwave Metrology. Lecture Gain and TRL labs Gain Lab Department of Electrical and Computer Engineering University of Massachusetts, Amherst Course ECE 684: Microwave Metrology Lecture Gain and TRL labs In lab we will be constructing a downconverter.

More information

Probe Card Characterization in Time and Frequency Domain

Probe Card Characterization in Time and Frequency Domain Gert Hohenwarter GateWave Northern, Inc. Probe Card Characterization in Time and Frequency Domain Company Logo 2007 San Diego, CA USA Objectives Illuminate differences between Time Domain (TD) and Frequency

More information

High Speed Characterization Report

High Speed Characterization Report MEC1-150-02-L-D-RA1 Description: Mini Edge-Card Socket Right Angle Surface Mount, 1.0mm (.03937 ) Pitch Samtec, Inc. 2005 All Rights Reserved Table of Contents Connector Overview... 1 Connector System

More information

Calibration and De-Embedding Techniques in the Frequency Domain

Calibration and De-Embedding Techniques in the Frequency Domain Calibration and De-Embedding Techniques in the Frequency Domain Tom Dagostino tom@teraspeed.com Alfred P. Neves al@teraspeed.com Page 1 Teraspeed Labs Teraspeed Consulting Group LLC 2008 Teraspeed Consulting

More information

Electronic Package Failure Analysis Using TDR

Electronic Package Failure Analysis Using TDR Application Note Electronic Package Failure Analysis Using TDR Introduction Time Domain Reflectometry (TDR) measurement methodology is increasing in importance as a nondestructive method for fault location

More information

Aries Kapton CSP socket Cycling test

Aries Kapton CSP socket Cycling test Aries Kapton CSP socket Cycling test RF Measurement Results prepared by Gert Hohenwarter 10/21/04 1 Table of Contents TABLE OF CONTENTS... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 5 Setup...

More information

The Design & Test of Broadband Launches up to 50 GHz on Thin & Thick Substrates

The Design & Test of Broadband Launches up to 50 GHz on Thin & Thick Substrates The Performance Leader in Microwave Connectors The Design & Test of Broadband Launches up to 50 GHz on Thin & Thick Substrates Thin Substrate: 8 mil Rogers R04003 Substrate Thick Substrate: 30 mil Rogers

More information

Antenna Matching Within an Enclosure Part II: Practical Techniques and Guidelines

Antenna Matching Within an Enclosure Part II: Practical Techniques and Guidelines Antenna Matching Within an Enclosure Part II: Practical Techniques and Guidelines By Johnny Lienau, RF Engineer June 2012 Antenna selection and placement can be a difficult task, and the challenges of

More information

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology Johan Wernehag, EIT Lecture 4 RF Amplifier Design Johan Wernehag Electrical and Information Technology Design of Matching Networks Various Purposes of Matching Voltage-, Current- and Power Matching Design

More information

WinCal XE. Leonard Hayden Cascade Microtech, Inc.

WinCal XE. Leonard Hayden Cascade Microtech, Inc. WinCal XE - The Microwave Tool Leonard Hayden Cascade Microtech, Inc. Presentation Outline WinCal XE TM Software application for vector network analyzer probing and measurement Overview of WinCal XE features

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Plastic straw: future of high-speed signaling

Plastic straw: future of high-speed signaling Supplementary Information for Plastic straw: future of high-speed signaling Ha Il Song, Huxian Jin, and Hyeon-Min Bae * Korea Advanced Institute of Science and Technology (KAIST), Department of Electrical

More information

S-parameters. Jvdtang. RFTE course, #3: RF specifications and system design (I) 73

S-parameters. Jvdtang. RFTE course, #3: RF specifications and system design (I) 73 S-parameters RFTE course, #3: RF specifications and system design (I) 73 S-parameters (II) Linear networks, or nonlinear networks operating with signals sufficiently small to cause the networks to respond

More information

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs Presenter: Brian Shumaker DVT Solutions, LLC, 650-793-7083 b.shumaker@comcast.net

More information

The data rates of today s highspeed

The data rates of today s highspeed HIGH PERFORMANCE Measure specific parameters of an IEEE 1394 interface with Time Domain Reflectometry. Michael J. Resso, Hewlett-Packard and Michael Lee, Zayante Evaluating Signal Integrity of IEEE 1394

More information

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits George E. Ponchak 1, Steve Robertson 2, Fred Brauchler 2, Jack East 2, Linda P. B. Katehi 2 (1) NASA Lewis Research

More information

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications R. L. Li, G. DeJean, K. Lim, M. M. Tentzeris, and J. Laskar School of Electrical and Computer Engineering

More information

Limitations And Accuracies Of Time And Frequency Domain Analysis Of Physical Layer Devices

Limitations And Accuracies Of Time And Frequency Domain Analysis Of Physical Layer Devices Limitations And Accuracies Of Time And Frequency Domain Analysis Of Physical Layer Devices Outline Short Overview Fundamental Differences between TDR & Instruments Calibration & Normalization Measurement

More information

Coaxial TRL Calibration Kits for Network Analyzers up to 40 GHz

Coaxial TRL Calibration Kits for Network Analyzers up to 40 GHz Focus Microwaves Inc. 277 Lakeshore Road Pointe-Claire, Quebec H9S-4L2, Canada Tel 514-630-6067 Fax 514-630-7466 Product Note No 2 Coaxial TRL Calibration Kits for Network Analyzers up to 40 GHz This note

More information

Vector Network Analyzer

Vector Network Analyzer Vector Network Analyzer VNA Basics VNA Roadshow Budapest 17/05/2016 Content Why Users Need VNAs VNA Terminology System Architecture Key Components Basic Measurements Calibration Methods Accuracy and Uncertainty

More information

Schematic-Level Transmission Line Models for the Pyramid Probe

Schematic-Level Transmission Line Models for the Pyramid Probe Schematic-Level Transmission Line Models for the Pyramid Probe Abstract Cascade Microtech s Pyramid Probe enables customers to perform production-grade, on-die, full-speed test of RF circuits for Known-Good

More information

application In-Fixture Measurements Using Vector Network Analyzers Network Analysis Solutions Application Note

application In-Fixture Measurements Using Vector Network Analyzers Network Analysis Solutions Application Note application Network Analysis Solutions In-Fixture Measurements Using Vector Network Analyzers Application Note 1287-9 Table of contents Introduction..................................................3 The

More information

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand Advanced VNA Measurements Agenda Overview of the PXIe-5632 Architecture SW Experience Overview of VNA Calibration

More information

ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration

ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration Objectives The purpose of this lab is to introduce the concepts of calibration and error correction for microwave s-parameter measurements.

More information

High Speed Characterization Report

High Speed Characterization Report High Speed Characterization Report MMCX-P-P-H-ST-TH1 mated with MMCX-J-P-H-ST-TH1 MMCX-P-P-H-ST-MT1 mated with MMCX-J-P-H-ST-MT1 MMCX-P-P-H-ST-SM1 mated with MMCX-J-P-H-ST-SM1 MMCX-P-P-H-ST-EM1 mated with

More information

A Simplified QFN Package Characterization Technique

A Simplified QFN Package Characterization Technique Slide -1 A Simplified QFN Package Characterization Technique Dr. Eric Bogatin and Trevor Mitchell Bogatin Enterprises Dick Otte, President, Promex 8/1/10 Slide -2 Goal of this Project Develop a simple

More information

The Challenges of Differential Bus Design

The Challenges of Differential Bus Design The Challenges of Differential Bus Design February 20, 2002 presented by: Arthur Fraser TechKnowledge Page 1 Introduction Background Historically, differential interconnects were often twisted wire pairs

More information

High Speed Characterization Report. Contact Plating Effects on Signal Integrity Gold on Post / Gold on Tail vs. Gold on Post / Matte Tin on Tail

High Speed Characterization Report. Contact Plating Effects on Signal Integrity Gold on Post / Gold on Tail vs. Gold on Post / Matte Tin on Tail Contact Plating Effects on Signal Integrity Gold on Post / Gold on Tail vs. Gold on Post / Matte Tin on Tail QTE-028-01-L-D-DP-A Mated With QSE-028-01-L-D-DP-A Description: Parallel Board-to-Board, Q Pair,

More information

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation DesignCon 2004 Design of 3.125 Gb/s Interconnect for High-bandwidth FPGAs Sherri Azgomi, Altera Corporation sazgomi@altera.com Lawrence Williams, Ph.D., Ansoft Corporation williams@ansoft.com CF-031505-1.0

More information

Keysight Technologies In-Fixture Measurements Using Vector Network Analyzers. Application Note

Keysight Technologies In-Fixture Measurements Using Vector Network Analyzers. Application Note Keysight Technologies In-Fixture Measurements Using Vector Network Analyzers Application Note Introduction This application note describes the use of vector network analyzers when making measurements of

More information

Introduction to On-Wafer Characterization at Microwave Frequencies

Introduction to On-Wafer Characterization at Microwave Frequencies Introduction to On-Wafer Characterization at Microwave Frequencies Chinh Doan Graduate Student University of California, Berkeley Introduction to On-Wafer Characterization at Microwave Frequencies Dr.

More information

The 2-Port Shunt-Through Measurement and the Inherent Ground Loop

The 2-Port Shunt-Through Measurement and the Inherent Ground Loop The Measurement and the Inherent Ground Loop The 2-port shunt-through measurement is the gold standard for measuring milliohm impedances while supporting measurement at very high frequencies (GHz). These

More information

PDN Probes. P2100A/P2101A Data Sheet. 1-Port and 2-Port 50 ohm Passive Probes

PDN Probes. P2100A/P2101A Data Sheet. 1-Port and 2-Port 50 ohm Passive Probes P2100A/P2101A Data Sheet PDN Probes 1-Port and 2-Port 50 ohm Passive Probes power integrity PDN impedance testing ripple PCB resonances transient step load stability and NISM noise TDT/TDR clock jitter

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS G iga T est L abs POST OFFICE BOX 1927 CUPERTINO, CA 95015 TEL E P H ONE (408) 524-2700 FAX (408) 524-2777 ARIES ELECTRONICS BGA SOCKET (0.80MM TEST CENTER PROBE CONTACT) Final Report Electrical Characterization

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

LXI -Certified 2.4mm & 1.85mm Automated Tuners

LXI -Certified 2.4mm & 1.85mm Automated Tuners LXI -Certified 2.4mm & 1.85mm Automated Tuners DATA SHEET / 4T-050G04A MODELS: MT984AL01 MT985AL01 // JUNE 2018 What is load pull? Load Pull is the act of presenting a set of controlled impedances to a

More information

GigaTest Labs CINCH 1 MM PITCH CIN::APSE LGA SOCKET. Final Report. August 31, Electrical Characterization

GigaTest Labs CINCH 1 MM PITCH CIN::APSE LGA SOCKET. Final Report. August 31, Electrical Characterization GigaTest Labs POST OFFICE OX 1927 CUPERTINO, C TELEPHONE (408) 524-2700 FX (408) 524-2777 CINCH 1 MM PITCH CIN::PSE LG SOCKET Final Report ugust 31, 2001 Electrical Characterization Table of Contents Subject

More information

Choosing Signal Integrity Measurement or Frequency Domain?

Choosing Signal Integrity Measurement or Frequency Domain? Application Note Choosing ignal Integrity Measurement Tools: Time T or Frequency Domain? To obtain accurate models for high-speed interconnects, a signal integrity engineer eventually needs to perform

More information

Development of Model Libraries for Embedded Passives Using Network Synthesis

Development of Model Libraries for Embedded Passives Using Network Synthesis IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL 47, NO 4, APRIL 2000 249 Development of Model Libraries for Embedded Passives Using Network Synthesis Kwang Lim Choi

More information

Determination of Uncertainty for Dielectric Properties Determination of Printed Circuit Board Material

Determination of Uncertainty for Dielectric Properties Determination of Printed Circuit Board Material Determination of Uncertainty for Dielectric Properties Determination of Printed Circuit Board Material Marko Kettunen, Kare-Petri Lätti, Janne-Matti Heinola, Juha-Pekka Ström and Pertti Silventoinen Lappeenranta

More information

Bill Ham Martin Ogbuokiri. This clause specifies the electrical performance requirements for shielded and unshielded cables.

Bill Ham Martin Ogbuokiri. This clause specifies the electrical performance requirements for shielded and unshielded cables. 098-219r2 Prepared by: Ed Armstrong Zane Daggett Bill Ham Martin Ogbuokiri Date: 07-24-98 Revised: 09-29-98 Revised again: 10-14-98 Revised again: 12-2-98 Revised again: 01-18-99 1. REQUIREMENTS FOR SPI-3

More information

Verification of LRRM Calibrations with Load Inductance Compensation for CPW Measurements on GaAs Substrates

Verification of LRRM Calibrations with Load Inductance Compensation for CPW Measurements on GaAs Substrates Verification of LRRM Calibrations with Load Inductance Compensation for CPW Measurements on GaAs Substrates J.E. Pence Cascade Microtech, 2430 NW 206th Avenue, Beaverton, OR 97006 Abstract The on-wafer

More information

Measurements with Scattering Parameter By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services

Measurements with Scattering Parameter By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services Measurements with Scattering Parameter By Joseph L. Cahak Copyright 2013 Sunshine Design Engineering Services Network Analyzer Measurements In many RF and Microwave measurements the S-Parameters are typically

More information

LXI -Certified 3.5mm Automated Tuners

LXI -Certified 3.5mm Automated Tuners LXI -Certified 3.5mm Automated Tuners DATA SHEET / 4T-050G08 MODELS: XT983BL01 XT-SERIES TUNERS REPRESENT THE NEXT EVOLUTION IN TUNER TECHNOLOGY. FASTER, MORE ACCURATE, MORE REPEATABLE. Products covered

More information

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques From September 2002 High Frequency Electronics Copyright 2002, Summit Technical Media, LLC Accurate Simulation of RF Designs Requires Consistent Modeling Techniques By V. Cojocaru, TDK Electronics Ireland

More information

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Using: Final Inch Test/Eval Kit, Differential Pair - No Grounds Configuration, QTE-DP/QSE-DP, 5mm Stack Height (P/N FIK-QxE-04-01)

More information

A Combined Impedance Measurement Method for ESD Generator Modeling

A Combined Impedance Measurement Method for ESD Generator Modeling A Combined Impedance Measurement Method for ESD Generator Modeling Friedrich zur Nieden, Stephan Frei Technische Universität Dortmund AG Bordsysteme Dortmund, Germany David Pommerenke Missouri University

More information

High Speed Characterization Report

High Speed Characterization Report QTE-020-02-L-D-A Mated With QSE-020-01-L-D-A Description: Parallel Board-to-Board, 0.8mm Pitch, 8mm (0.315 ) Stack Height Samtec, Inc. 2005 All Rights Reserved Table of Contents Connector Overview... 1

More information

Microprobing with the Agilent 86100A Infiniium DCA

Microprobing with the Agilent 86100A Infiniium DCA Microprobing with the Agilent 86100A Infiniium DCA Application Note 1304-3 A guide to making accurate measurements with the Agilent 86100A Infiniium DCA and Time Domain Reflectometer using Cascade Microtech

More information

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Keysight Technologies Signal Integrity Tips and Techniques Using, VNA and Modeling Article Reprint This article first appeared in the March 216 edition of Microwave Journal. Reprinted with kind permission

More information

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent?

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent? EE29C Spring 2 Lecture 2: High-Speed Link Overview and Environment Eye Diagrams V V t b This is a This is a V e Eye Opening - space between and Elad Alon Dept. of EECS t e With voltage noise With timing

More information

Signal Integrity Testing with a Vector Network Analyzer. Neil Jarvis Applications Engineer

Signal Integrity Testing with a Vector Network Analyzer. Neil Jarvis Applications Engineer Signal Integrity Testing with a Vector Network Analyzer Neil Jarvis Applications Engineer 1 Agenda RF Connectors A significant factor in repeatability and accuracy Selecting the best of several types for

More information