Design and Implementation of Universal Serial Bus Transceiver with Verilog

Size: px
Start display at page:

Download "Design and Implementation of Universal Serial Bus Transceiver with Verilog"

Transcription

1 TELKOMNIKA Indonesian Journal of Electrical Engineering Vol.12, No.6, June 2014, pp ~ 4595 DOI: /telkomnika.v12i Design and Implementation of Universal Serial Bus Transceiver with Verilog Liqun Xu, Likun Zheng*, Yali Chen, Zheying Li Beijing Key lab of Information Service, Beijing Union University, Beijing Key lab of Information Service, Information school of Beijing Union University, No.97 Beisihuan East Road Chaoyang District Beijing, China *Corresponding author, zlklovey365@163.com Abstract In this paper, a simplified Universal Serial Bus (USB) transceiver is designed, which is special used for mobile terminals such as smart mobile phone, IPad, smart sensor, and so on. The transceiver includes functions such as data serialization, bit stuffing, NRZI encoding and NRZI decoding, bit unstuffing, deserialization. The transceiver is designed and implemented with Verilog HDL in Modelsim. And the transceiver has been verified with FPGA and synthesized using 0.18um 1P6M CMOS process technology. The simulation and FPGA results show that the transceiver satisfies all design specification and can be used as an IP core for smart mobile terminals. Keyword: universal serial bus (USB), transceiver macrocell interface, verilog HDL Copyright 2014 Institute of Advanced Engineering and Science. All rights reserved. 1. Introduction The Universal Serial Bus (USB) is a device communication standard. For the interface of multimedia data between personal computers and peripheral components, USB has become a kind of mainstream interface technology, and has wide application foreground. With the popularity of mobile terminals, there is growing demand for communication directly between mobile terminals. The new USB has put forward, which is used for interconnection and data transmission between mobile devices [1]. The USB Transceiver Macrocell Interface (UTMI) is one of the important functional blocks of USB controller, which is connected to Serial Interface Engine (SIE) via a 8-bit parallel interface and communicates with the host(pc or Hub) through USB differential cable. UTMI is a bidirectional serial bus interface between USB devices through D+ and D- lines. To satisfy the low power consumption requirement from some smart mobile trerminal application, a simplified UTMI was designed in this paper. The simplified UTMI is only us for single data pipline therefore some circuitry part can be cutoff from nomal UTMI. In this paper, part 2 discussed and designed a USB UTMI circuitry architecture special used for mobile terminals; part3 gave and discussed Verilog HDL simulation and FPGA verification results of the UTMI, and part 4 gives a IP synthesis result. 2. Architecture and Function of USB Transceiver UTMI handles the low level USB protocol and signaling. Figure 1 shows the functional block diagram of the USB transceiver in the USB Transceiver Macrocell Interface specification [3]. It defines three types of UTMI implementations depends on data transmission rates, those are Low Speed (LS)(1.5MHz) only, Full Speed (FS)(12MHz) only and High Speed (HS)(480MHz)/Full speed (FS)(12MHz). In this paper, considering special used for mobile terminals only needs full speed model, the High Speed type is deleted to reduce power consumption of UTMI. The last functional block diagram is shown in Figure 2. Received December 28, 2013; Revised February 27, 2014; Accepted March 13, 2014

2 4590 ISSN: Data+ Data- Rcv Status/ Control HSXCVR Xmit Rcv Status/ Control HS DLL Elasticity Buffer FS DLL Data Recovery M u x NRZI Decoder Receive State Machine Transmit State Machine Bit Unstuffer 8 bit Rx 8 bit Tx 8 bit Rx 8 bit Tx FSXCVR Xmit NRZI Encoder Bit stuffer Analog Front End External Crystal Figure 1. UTM Functional Block Diagram Clock Multiplier Control Logic Figure 2. Functional Block Diagram of USB Transceiver Figure 2 shows the block diagram of USB Transceiver. This includes features such as: data serialization and deserialization, bit stuffing, bit destuffing and clock recovery and synchronization with Full Speed. The parallel data from SIE is taken into the transmit hold register and this data is sent to transmit shift register from where the data is converted serially. This serial data is bit stuffed to perform data transitions for clock recovery and Non Return to Zero Invert(NRZI) encoding. Then the encoded data is sent on to the serial bus. When the data is received on the serial bus, it is decoded, bit unstuffed and is sent to receive shift register. After the shift register is full, the data is sent to receive hold register. This data will be presented on the parallel interface where it is sampled by the SIE The Transmitter Module. The transmitter module has been implemented by considering the following specifications [2-3]. Verilog is used to design the transmitter module. The SYNC pattern has to be transmitted immediately after the transmitter is initiated by the SIE. TELKOMNIKA Vol. 12, No. 6, June 2014:

3 TELKOMNIKA ISSN: After six consecutive 1 s occur in the data stream a zero to be inserted. The data should be encoded using Non Return to Zero Invert (NRZI) on encoding technique. It can effectively prevent the transmission of data appearing continuous and no level change sequence. Figure 3 is shown the timing diagram of signals generated. Figure 3. Timing Diagram of Signals Generated The EOP pattern two single ended zeroes (D+ and D- lines are carrying zero for two clock cycles) and a bit one have to be transmitted after each packet or after SIE suspends the transmitter. The block diagram of the UTMI transmitter model is shown in Figure 4. Figure 4. Block Diagram of the UTMI Transmitter The transmitter module of the UTMI consists of various blocks such as SYNC generator, transmit hold and shift register, bit stuffer, NRZI encoder and EOP generator. A transmit state machine is developed by considering all the states given by USB 2.0 transmit state machine. The transmit state machine is shown in Figure 5. Figure 5. Ttransmit State Machine Design and Implementation of Universal Serial Bus Transceiver with Verilog (Liqun Xu)

4 4592 ISSN: Initially the transmitter is at Reset state where the reset signal is high. If reset signal goes low state the state of the transmitter is changed to Tx_wait state where it is waiting for assertion of Tx_valid signal by the SIE. When the Tx_valid signal is asserted by the SIE, transmit state machine enters into Send Sync state where a signal called of the clock out side the state machine. When this signal is enabled sync enable is asserted. This signal is checked at every rising edge, a sync pattern is send to the NRZI encoder. The data byte placed on the data lines by the SIE sampled by the UTMI at the rising edge of the clock. For this purpose, an 8-bit vector is declared in the entity declaration of the transmitter module. This 8-bit vector is considered as transmit hold and shift register. The transmit hold and shift register is loaded with 8-bit parallel data from SIE at the rising edge of the clock. At this movement the transmit state machine is in Data load state. After the register is loaded, the data is sent to the other modules serially. Each bit of the register is sent to the Bit stuff module. After all the bits are serially sent to the Bit stuff module, Tx_ready signal is asserted by the transmit state machine. During parallel to serial conversion data, the transmit state machine is in Data wait state. When Tx_valid signal is negated by the SIE, the transmit state machine enters into send EOP state where it enables a signal called eop_enable. This signal is checked out side the state machine for every clock. If this signal is high then the EOP pattern: two single ended zeroes (DP, DM lines contain zeroes) and a J ( a 1 on DP line and a 0 on DM line) is transmitted on to DP, DM lines The Receiver Module The receiver module has been implemented by considering the following specifications [2-3]. When SYNC pattern is detected that should be intimated to the SIE. If a zero is not detected after six consecutive 1 s an error should be reported to the SIE. When EOP pattern is detected that should be intimated to the SIE. The block diagram of the UTMI receiver is shown in Figure 6. Figure 6. Block Diagram of the UTMI Receiver The receiver module is designed by considering all the above specifications. Verilog is used to design the receiver module. The receiver module of the UTMI consists of various blocks such as SYNC detector, NRZI decoder, bit unstuffer, receive shift and hold ister and EOP detector. A receive state machine is developed by considering all the states given by USB receive state machine. The receive state machine is shown in Figure 7. Figure 7. Receive State Machine TELKOMNIKA Vol. 12, No. 6, June 2014:

5 TELKOMNIKA ISSN: Initially the receiver is at Reset state where the reset signal is high and Rx_active and Rx_valid signals are low. If reset signal goes low the state of the receiver is changed to Rx_wait state where it is waiting for SYNC pattern. When the receiver detects encoded SYNC pattern , the Receive state machine will enter into strip sync state where the SYNC pattern is stripped off. To detect the SYNC pattern a state machine is developed. It checks every bit for every rising edge of the clock. If the pattern is detected, a signal called sync detected is enabled. This signal is checked by the Receive state machine. If the signal is high, the Receive state machine will enter into strip sync state where Rx_active signal is asserted and the state machine will enter into Rx_data state. The received data on DP, DM lines are NRZI decoded. The NRZI Decoder simply XOR the present bit with the provisionally received bit. During NRZI decoding, the receive state machine is in Rx_wait state. The serial data received from the bit Unstuffer is shifted into the receive shift register. After the shift ister is full, it is held there for one clock duration and then the data is placed on to the data out bus. This 8-bit data is sampled by the SIE at the next rising edge of the clock during shifting, the receive state machine is in Rx_data wait state. During holding, the receive state machine is in Rx_data state where it asserts Rx_valid signal. A state machine is developed for EOP detection, which is invoked at every rising edge of the clock. When two single ended zeroes fallowed by a J state is detected, it asserts a signal called EOP_detect which is checked by the Receive state machine at every rising edge of the clock. When this signal is high, the receive state machine will enter in to Strip eop state where the EOP pattern is stripped off and Rx_active, Rx_valid signals are negated. At the next rising edge of the clock. The Receive state machine will enter into the Rx_wait state. 3. Results and Discussion The Figure 8 shows the Simulation results of UTMI transmitter. When send the data, UTMI need to let txoe signal go low so that the driver is in data send state. At the same time, Tx_Vaild signal goes high, it show that data on parallel lines are waiting to send. Then encoded SYNC pattern is transmitted and the signal txready is asserted. The data present on the data bus is NRZI encoded and transmitted on to the txdp/txdn lines. The signal txready goes low when the data is sampled by the Tx hold register. When a set of data finished UTMI send a eop_done signal and the signal txoe goes high. Figure 8 UTMI Transmitter Module The Figure 9 shows the simulation results of UTMI receiver. When SYNC pattern is detected RxActive is asserted. The data present on rxdp, rxdn lines is decoded, serial to parallel converted and sent to the SIE through data out bus by asserting Rx_Valid signal. The RxActive is invalid show that a set of data completed. This design has been verified utilizing Altera's Cyclone II type EP2C35F672C8 FPGA. Verilog code is synthesized and the downloadable file is generated using Quartus II, through the JTAG download the file to FPGA and debug it. Figure 10 shows the FPGA result. FPGA development board and PHY chip connect to the computer using USB cable, the equipment Design and Implementation of Universal Serial Bus Transceiver with Verilog (Liqun Xu)

6 4594 ISSN: configuration information is obtained by the computer, then the transceiver can properly communicates with computer. Figure 9. UTMI Receiver Module FPGA JTAG Figure 10. FPGA Result 4. Synthesis Implementation The Synopsys company s Synthesis Tool Design Complier[6] is used in the design of the circuit. This process converts the Verilog code to an optimized gate netlist according to correlative design constraints. The most important design constraints are speed and operating conditions. The design has been synthesized using 0.18um 1P6M CMOS process technology, and the timing report and area report are given. Figure 11 Area Report Figure 11 shows the design circuit logic cell is 11k. The report information is used to estimate the chip area. Figure 12 shows the value of slack, the slack describe the difference between the require time and the actual time in timing sequence path. The value is positive illustrates the circuit design conforms to the constraints. TELKOMNIKA Vol. 12, No. 6, June 2014:

7 TELKOMNIKA ISSN: Figure 12. Timing Report 5. Conclusion USB transceiver is an important part of the USB device. The individual modules of USB transceiver have been designed using Verilog and verified functionally with the ModelSim and FPGA. A design tool Verilog HDL and the corresponding synthesis tool are used. It makes a good contribution to the research and development of the USB chip. It also can be used as an IP core in the Soc design. References [1] Jang-Jin Nam, Yong-Jun Kim, Kwang-Hee Choi, Hong-June Park. A UTMI-compatible physical-layer USB2.0 transceiver chip. Soc Conference. Proceedings. IEEE International [Systems-on-Chip. 2003: [2] Universal Serial Bus Specification revision 2.0. HP, Compaq, Intel, Microsoft, Philips, NEC, and Lucent. Mar [3] USB 2.0 Transceiver Macrocell Interface (UTMI) Specification. Intel. version [4] A Vamshidhar Reddy et al, Implementation of USB Transceiver Macrocell Interface. IJRET /P2012_01_03_016.pdf [5] Babulu, K Rajan KS. FPGA Implementation of USB Transceiver Macrocell Interface with USB2.0 Specifications. Emerging Trends in Engineering and Technology, ICETET 08.First International Conference on. 2008: [6] Advanced ASIC chip synthesis: Using Synopsys Design Complier and Prime Time. Second edition. Himanshu Bhanagar. Kluwer Academic Publisher Design and Implementation of Universal Serial Bus Transceiver with Verilog (Liqun Xu)

SMS USB 2.0 HS/FS/LS HOST PHY Transceiver

SMS USB 2.0 HS/FS/LS HOST PHY Transceiver SMS2200 USB 2.0 Host Transceiver SMS USB 2.0 HS/FS/LS HOST PHY Transceiver SMS USB 2.0 HOST PHY CORE PLL TX REG TX State Machine TX FIFO U T M I RX REG Bit Un-stuffer NRZI Decoder RX State Machine Bit

More information

CDR in Mercury Devices

CDR in Mercury Devices CDR in Mercury Devices February 2001, ver. 1.0 Application Note 130 Introduction Preliminary Information High-speed serial data transmission allows designers to transmit highbandwidth data using differential,

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

Design and Implementation of FPGA Based Digital Base Band Processor for RFID Reader

Design and Implementation of FPGA Based Digital Base Band Processor for RFID Reader Indian Journal of Science and Technology, Vol 10(1), DOI: 10.17485/ijst/2017/v10i1/109394, January 2017 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Implementation of FPGA Based Digital

More information

EECE494: Computer Bus and SoC Interfacing. Serial Communication: RS-232. Dr. Charles Kim Electrical and Computer Engineering Howard University

EECE494: Computer Bus and SoC Interfacing. Serial Communication: RS-232. Dr. Charles Kim Electrical and Computer Engineering Howard University EECE494: Computer Bus and SoC Interfacing Serial Communication: RS-232 Dr. Charles Kim Electrical and Computer Engineering Howard University Spring 2014 1 Many types of wires/pins in the communication

More information

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris. Jestr Journal of Engineering Science and Technology Review 9 (5) (2016) 51-55 Research Article Design and Implementation of an Open Image Processing System based on NIOS II and Altera DE2-70 Board L. Pyrgas,

More information

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar 106 Design and FPGA Implementation of a High Speed UART Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar Abstract- The Universal Asynchronous Receiver Transmitter (UART)

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

2. Transceiver Basics for Arria V Devices

2. Transceiver Basics for Arria V Devices 2. Transceiver Basics for Arria V Devices November 2011 AV-54002-1.1 AV-54002-1.1 This chapter contains basic technical details pertaining to specific features in the Arria V device transceivers. This

More information

What is a Lane? serial link lane parallel link

What is a Lane? serial link lane parallel link PCI Express This lecture is based on the Peripheral Component Interconnect Express, which is a standard for computer expansion cards. More specifically, this is a standard for the communication link by

More information

Using High-Speed Transceiver Blocks in Stratix GX Devices

Using High-Speed Transceiver Blocks in Stratix GX Devices Using High-Speed Transceiver Blocks in Stratix GX Devices November 2002, ver. 1.0 Application Note 237 Introduction Applications involving backplane and chip-to-chip architectures have become increasingly

More information

The design and implementation of high-speed data interface based on Ink-jet printing system

The design and implementation of high-speed data interface based on Ink-jet printing system International Symposium on Computers & Informatics (ISCI 2015) The design and implementation of high-speed data interface based on Ink-jet printing system Yeli Li, Likun Lu*, Binbin Yan Beijing Key Laboratory

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS O. Ranganathan 1, *Abdul Imran Rasheed 2 1- M.Sc [Engg.] student, 2-Assistant Professor Department

More information

2. Arria GX Transceiver Protocol Support and Additional Features

2. Arria GX Transceiver Protocol Support and Additional Features 2. Arria GX Transceiver Protocol Support and Additional Features AGX52002-2.0 Introduction Arria GX transceivers have a dedicated physical coding sublayer (PCS) and physical media attachment (PMA) circuitry

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

D16550 IP Core. Configurable UART with FIFO v. 2.25

D16550 IP Core. Configurable UART with FIFO v. 2.25 2017 D16550 IP Core Configurable UART with FIFO v. 2.25 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a SystemonChip design house. The company was founded in 1999

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL International Journal Of Scientific Research And Education Volume 2 Issue 7 Pages 1091-1097 July-2014 ISSN (e): 2321-7545 Website:: http://ijsae.in Design and Simulation of Universal Asynchronous Receiver

More information

EE 434 Final Projects Fall 2006

EE 434 Final Projects Fall 2006 EE 434 Final Projects Fall 2006 Six projects have been identified. It will be our goal to have approximately an equal number of teams working on each project. You may work individually or in groups of

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

UFS v2.0 PHY and Protocol Testing for Compliance. Copyright 2013 Chris Loberg, Tektronix

UFS v2.0 PHY and Protocol Testing for Compliance. Copyright 2013 Chris Loberg, Tektronix UFS v2.0 PHY and Protocol Testing for Compliance Copyright 2013 Chris Loberg, Tektronix Agenda Introduction to MIPI Architecture & Linkage to UFS Compliance Testing Ecosystem UFS Testing Challenges Preparing

More information

2014, IJARCSSE All Rights Reserved Page 459

2014, IJARCSSE All Rights Reserved Page 459 Volume 4, Issue 9, September 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Verilog Implementation

More information

MULTIPHASE clocks are useful in many applications.

MULTIPHASE clocks are useful in many applications. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 3, MARCH 2004 469 A New DLL-Based Approach for All-Digital Multiphase Clock Generation Ching-Che Chung and Chen-Yi Lee Abstract A new DLL-based approach

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Implementation of a Single-Channel HDLC Controller on FPGA

Implementation of a Single-Channel HDLC Controller on FPGA Implementation of a Single-Channel HDLC Controller on FPGA Hichem Semira Department of Electronics, BadjiMokhtar University,Annaba- B.P.12, Algeria. Mohamed Benouaret Department of Electronics, BadjiMokhtar

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Evaluation of the Masked Logic Style MDPL on a Prototype Chip

Evaluation of the Masked Logic Style MDPL on a Prototype Chip Evaluation of the Masked Logic Style MDPL on a Prototype Chip Thomas Popp, Mario Kirschbaum, Thomas Zefferer Graz University of Technology Institute for Applied Information Processing and Communications

More information

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom Schematic of a typical SDR Very rough schematic: Analog Stuff ADC/DAC FPGA GPP Let s ignore

More information

2. Cyclone IV Reset Control and Power Down

2. Cyclone IV Reset Control and Power Down May 2013 CYIV-52002-1.3 2. Cyclone IV Reset Control and Power Down CYIV-52002-1.3 Cyclone IV GX devices offer multiple reset signals to control transceiver channels independently. The ALTGX Transceiver

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

DASL 120 Introduction to Microcontrollers

DASL 120 Introduction to Microcontrollers DASL 120 Introduction to Microcontrollers Lecture 2 Introduction to 8-bit Microcontrollers Introduction to 8-bit Microcontrollers Introduction to 8-bit Microcontrollers Introduction to Atmel Atmega328

More information

3 Definitions, symbols, abbreviations, and conventions

3 Definitions, symbols, abbreviations, and conventions T10/02-358r2 1 Scope 2 Normative references 3 Definitions, symbols, abbreviations, and conventions 4 General 4.1 General overview 4.2 Cables, connectors, signals, transceivers 4.3 Physical architecture

More information

Design and verification of internal core circuit of FlexRay transceiver in the ADAS

Design and verification of internal core circuit of FlexRay transceiver in the ADAS Design and verification of internal core circuit of FlexRay transceiver in the ADAS Yui-Hwan Sa 1 and Hyeong-Woo Cha a Department of Electronic Engineering, Cheongju University E-mail : labiss1405@naver.com,

More information

This document addresses transceiver-related known errata for the Stratix GX FPGA family production devices.

This document addresses transceiver-related known errata for the Stratix GX FPGA family production devices. Stratix GX FPGA ES-STXGX-1.8 Errata Sheet This document addresses transceiver-related known errata for the Stratix GX FPGA family production devices. 1 For more information on Stratix GX device errata,

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

SV3C CPTX MIPI C-PHY Generator. Data Sheet

SV3C CPTX MIPI C-PHY Generator. Data Sheet SV3C CPTX MIPI C-PHY Generator Data Sheet Table of Contents Table of Contents Table of Contents... 1 List of Figures... 2 List of Tables... 2 Introduction... 3 Overview... 3 Key Benefits... 3 Applications...

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

AD9361 transceiver IC are explored. The signal properties are tested on spectrum analyzer. Index Terms: DS-SS, CDMA, Gold code, SOC.

AD9361 transceiver IC are explored. The signal properties are tested on spectrum analyzer. Index Terms: DS-SS, CDMA, Gold code, SOC. COMPACT IMPLEMENTATION OF DSSS WAVEFORM USING XILINX ZYNQ SOC AND AD9361 TRANSCEIVER Sharvani Gadgil 1, Atul Pawar 2, C D Naidu 3, M Haritha 4 1,3,4 VNR Vignana Jyothi Institute of Engineering and Technology,

More information

4. SONET Mode. Introduction

4. SONET Mode. Introduction 4. SONET Mode SGX52004-1.2 Introduction One of the most common serial backplanes in the communications or telecom area is the SONET/SDH interface. For SONET/SDH applications the synchronous transport signal

More information

Operational Description

Operational Description Operational Description Wallterminal WT2000 ISO Tagit The Wallterminal WT2000 consists of the two components control unit and reader unit. The control unit is usually mounted in a save area inside the

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

3. Custom Mode. Introduction. The Custom mode of the Stratix GX device includes the following features:

3. Custom Mode. Introduction. The Custom mode of the Stratix GX device includes the following features: 3. Custom Mode SGX52003-1.2 Introduction The Custom mode of the Stratix GX device includes the following features: Serial data rate range from 500 Mbps to 3.1875 Gbps Input reference clock range from 25

More information

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Ratheesh Mekkadan, Advanced Micro Devices, Inc., Bangalore, India (ratheesh.mekkadan@amd.com) Abstract The physical layer of the MIPI-camera

More information

Hardware Implementation of BCH Error-Correcting Codes on a FPGA

Hardware Implementation of BCH Error-Correcting Codes on a FPGA Hardware Implementation of BCH Error-Correcting Codes on a FPGA Laurenţiu Mihai Ionescu Constantin Anton Ion Tutănescu University of Piteşti University of Piteşti University of Piteşti Alin Mazăre University

More information

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART FPGA-based implementation of UART Kamal Kumar Sharma 1 Parul Sharma 2 1 Professor; 2 Assistant Professor Dept. of Electronics and Comm Engineering, E-max School of Engineering and Applied Research, Ambala

More information

2. Stratix GX Transceivers

2. Stratix GX Transceivers 2. Stratix GX Transceivers SGX51002-1.1 Transceiver Blocks Stratix GX devices incorporate dedicated embedded circuitry on the right side of the device, which contains up to 20 high-speed 3.1875-Gbps serial

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

BiSS Interface AN18: BiSS C ANALYZER

BiSS Interface AN18: BiSS C ANALYZER Rev A3, Page 1/7 CONTENTS BASIC OPERATION 1 Clock rates................... 1 BiSS analyzer modes............. 2 2 BiSS ANALYZER INSTALLATION 2 ELECTRICAL INTERFACE 2 RS422 BiSS Encoder Interface........

More information

FPGA BASED RS-422 UTILIZED UART PROTOCOL ANALYZER FOR AVIONICS UNITS

FPGA BASED RS-422 UTILIZED UART PROTOCOL ANALYZER FOR AVIONICS UNITS FPGA BASED RS-422 UTILIZED UART PROTOCOL ANALYZER FOR AVIONICS UNITS 1 GOLLAPROLU VENKATESH, 2 T. KISHORE KUMAR 1,2 Department of E.C.E, National Institute of Technology Warangal E-mail: 1 venkatesh.yadav325@gmail.com,

More information

D16950 IP Core. Configurable UART with FIFO v. 1.03

D16950 IP Core. Configurable UART with FIFO v. 1.03 2017 D16950 IP Core Configurable UART with FIFO v. 1.03 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a SystemonChip design house. The company was founded in 1999

More information

Design and Characterization of ECC IP core using Improved Hamming Code

Design and Characterization of ECC IP core using Improved Hamming Code International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August 2013 Design and Characterization of ECC IP core using Improved Hamming Code Arathy S, Nandakumar R Abstract Hamming

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Design of a Hardware/Software FPGA-Based Driver System for a Large Area High Resolution CCD Image Sensor

Design of a Hardware/Software FPGA-Based Driver System for a Large Area High Resolution CCD Image Sensor PHOTONIC SENSORS / Vol. 4, No. 3, 2014: 274 280 Design of a Hardware/Software FPGA-Based Driver System for a Large Area High Resolution CCD Image Sensor Ying CHEN 1,2*, Wanpeng XU 3, Rongsheng ZHAO 1,

More information

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach Technology Volume 1, Issue 1, July-September, 2013, pp. 41-46, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

6. GIGE Mode. Introduction

6. GIGE Mode. Introduction 6. GIGE Mode SGX52006-1.2 Introduction The Gigabit Ethernet (GIGE) mode in Stratix GX devices supports a subset of the IEEE GIGE standard. Stratix GX devices have Physical Coding Sub-layer (PCS) functions

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title An ultra low power baseband transceiver IC for wireless body area networks Author(s) Citation Liu, Xin;

More information

A Novel Reconfigurable OFDM Based Digital Modulator

A Novel Reconfigurable OFDM Based Digital Modulator A Novel Reconfigurable OFDM Based Digital Modulator Arunachalam V 1, Rahul Kshirsagar 2, Purnendu Debnath 3, Anand Mehta 4, School of Electronics Engineering, VIT University, Vellore - 632014, Tamil Nadu,

More information

The Architecture of the BTeV Pixel Readout Chip

The Architecture of the BTeV Pixel Readout Chip The Architecture of the BTeV Pixel Readout Chip D.C. Christian, dcc@fnal.gov Fermilab, POBox 500 Batavia, IL 60510, USA 1 Introduction The most striking feature of BTeV, a dedicated b physics experiment

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

Anju 1, Amit Ahlawat 2

Anju 1, Amit Ahlawat 2 Implementation of OFDM based Transreciever for IEEE 802.11A on FPGA Anju 1, Amit Ahlawat 2 1 Hindu College of Engineering, Sonepat 2 Shri Baba Mastnath Engineering College Rohtak Abstract This paper focus

More information

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India

Hardware Implementation of OFDM Transceiver. Authors Birangal U. M 1, Askhedkar A. R 2 1,2 MITCOE, Pune, India ABSTRACT International Journal Of Scientific Research And Education Volume 3 Issue 9 Pages-4564-4569 October-2015 ISSN (e): 2321-7545 Website: http://ijsae.in DOI: http://dx.doi.org/10.18535/ijsre/v3i10.09

More information

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers AN678 Subscribe This application note provides a set of guidelines to run error free across backplanes at high-speed

More information

Design of Adaptive RFID Reader based on DDS and RC522 Li Yang, Dong Zhi-Hong, Cong Dong-Sheng

Design of Adaptive RFID Reader based on DDS and RC522 Li Yang, Dong Zhi-Hong, Cong Dong-Sheng International Conference on Applied Science and Engineering Innovation (ASEI 2015) Design of Adaptive RFID Reader based on DDS and RC522 Li Yang, Dong Zhi-Hong, Cong Dong-Sheng Beijing Key Laboratory of

More information

Implementation of Huffman Decoder on Fpga

Implementation of Huffman Decoder on Fpga RESEARCH ARTICLE OPEN ACCESS Implementation of Huffman Decoder on Fpga Safia Amir Dahri 1, Dr Abdul Fattah Chandio 2, Nawaz Ali Zardari 3 Department of Telecommunication Engineering, QUEST NawabShah, Pakistan

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Development of front-end readout electronics for silicon strip. detectors

Development of front-end readout electronics for silicon strip. detectors Development of front-end readout electronics for silicon strip detectors QIAN Yi( 千奕 ) 1 SU Hong ( 苏弘 ) 1 KONG Jie( 孔洁 ) 1,2 DONG Cheng-Fu( 董成富 ) 1 MA Xiao-Li( 马晓莉 ) 1 LI Xiao-Gang ( 李小刚 ) 1 1 Institute

More information

Commsonic. DVB-C/J.83 Cable Demodulator CMS0022. Contact information

Commsonic. DVB-C/J.83 Cable Demodulator CMS0022. Contact information DVB-C/J.83 Cable Demodulator CMS0022 DVB-C EN 300 429 ITU J83 Annexes A/B/C DOCSIS 1.1 / 2.0 IF sub-sampling or I/Q baseband interface. Standard 188-byte MPEG Transport Stream output. Variable ADC width

More information

Spectral Monitoring/ SigInt

Spectral Monitoring/ SigInt RF Test & Measurement Spectral Monitoring/ SigInt Radio Prototyping Horizontal Technologies LabVIEW RIO for RF (FPGA-based processing) PXI Platform (Chassis, controllers, baseband modules) RF hardware

More information

XIO1100. Data Manual

XIO1100. Data Manual XIO1100 Data Manual Literature Number: SLLS690C April 2006 Revised August 2011 Section Contents Contents Page 1 XIO1100 Features....................................................................... 1

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Reed-Solomon II MegaCore Function User Guide

Reed-Solomon II MegaCore Function User Guide Reed-Solomon II MegaCore Function 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01090-4.0 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

PRISM Power Management Modes

PRISM Power Management Modes PRISM Power Management Modes Application Note February 1997 AN9665 Authors: Carl Andren, Tim Bozych, Bob Rood and Doug Schultz The PRISM chip set and reference radio are capable of reduced power operation

More information

2. Stratix II GX Transceiver Architecture Overview

2. Stratix II GX Transceiver Architecture Overview 2. Stratix II GX Transceiver Architecture Overview SIIGX52002-4.2 Introduction This chapter provides detailed information about the architecture of Stratix II GX devices. Figure 2 1 shows the Stratix II

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Advances in Military Technology Vol. 5, No. 2, December Selection of Mode S Messages Using FPGA. P. Grecman * and M. Andrle

Advances in Military Technology Vol. 5, No. 2, December Selection of Mode S Messages Using FPGA. P. Grecman * and M. Andrle AiMT Advances in Military Technology Vol. 5, No. 2, December 2010 Selection of Mode S Messages Using FPGA P. Grecman * and M. Andrle Department of Aerospace Electrical Systems, University of Defence, Brno,

More information

Marvell 88E1000-RJJ Gigabit Ethernet Transceiver Partial Circuit Analysis

Marvell 88E1000-RJJ Gigabit Ethernet Transceiver Partial Circuit Analysis October 5, 2004 Marvell 88E1000-RJJ Gigabit Ethernet Transceiver Partial Circuit Analysis Introduction... Page 1 List of Figures... Page 2 Device Summary Sheet... Page 9 Analog Front-end Top Level...Tab

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN 2018 International Conference on Mechanical, Electronic and Information Technology (ICMEIT 2018) ISBN: 978-1-60595-548-3 Design and Implementation of a Low Power Successive Approximation ADC Xin HUANG,

More information

FLEX 10KE. Features... Embedded Programmable Logic Device

FLEX 10KE. Features... Embedded Programmable Logic Device FLEX 10KE Embedded Programmable Logic Device January 2003, ver. 2.5 Data Sheet Features... Embedded programmable logic devices (PLDs), providing system-on-a-programmable-chip (SOPC) integration in a single

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Application Note 5044

Application Note 5044 HBCU-5710R 1000BASE-T Small Form Pluggable Low Voltage (3.3V) Electrical Transceiver over Category 5 Unshielded Twisted Pair Cable Characterization Report Application Note 5044 Summary The Physical Medium

More information

Lab #10: Finite State Machine Design

Lab #10: Finite State Machine Design Lab #10: Finite State Machine Design Zack Mattis Lab: 3/2/17 Report: 3/14/17 Partner: Brendan Schuster Purpose In this lab, a finite state machine was designed and fully implemented onto a protoboard utilizing

More information

FPGA-BASED DESIGN AND IMPLEMENTATION OF THREE-PRIORITY PERSISTENT CSMA PROTOCOL

FPGA-BASED DESIGN AND IMPLEMENTATION OF THREE-PRIORITY PERSISTENT CSMA PROTOCOL U.P.B. Sci. Bull., Series C, Vol. 79, Iss. 4, 2017 ISSN 2286-3540 FPGA-BASED DESIGN AND IMPLEMENTATION OF THREE-PRIORITY PERSISTENT CSMA PROTOCOL Xu ZHI 1, Ding HONGWEI 2, Liu LONGJUN 3, Bao LIYONG 4,

More information

Designing with STM32F3x

Designing with STM32F3x Designing with STM32F3x Course Description Designing with STM32F3x is a 3 days ST official course. The course provides all necessary theoretical and practical know-how for start developing platforms based

More information

An FPGA 1Gbps Wireless Baseband MIMO Transceiver

An FPGA 1Gbps Wireless Baseband MIMO Transceiver An FPGA 1Gbps Wireless Baseband MIMO Transceiver Center the Authors Names Here [leave blank for review] Center the Affiliations Here [leave blank for review] Center the City, State, and Country Here (address

More information