Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Size: px
Start display at page:

Download "Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach"

Transcription

1 Technology Volume 1, Issue 1, July-September, 2013, pp , IASTER Online: , Print: Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach 1 Ramyashree.J, 2 Meena Priya Dharshini 1 M.Tech.(VLSI and Embedded Systems), 2 Assistant Professor Department of Electronics & Communication, CMR Institute of Technology, Bangalore ABSTRACT Wave pipelined circuits are mainly used to improve the performance of digital circuits in terms of frequency of operation. Wave pipelining is a high performance approach which implements pipelining in logic without using intermediate registers. It improves the logic utilization by minimizing the idle time. The implementation of wave pipelined circuit is quite complex because of its requirement for adjustment of output clock period and clock skew. The clock skew represents the difference between the input and the output clocks. The automatic selection of clock period and clock skew using BIST is carried out in this project. The circuit is studied using a 16x16 multiplier. The frequency of operation of wave-pipelined circuit is higher than that of non-pipelined circuit. Also there is reduction in area requirement with respect to conventional pipelined circuit. The design development is carried out using the Verilog HDL. The design is simulated in Modelsim6.3 and synthesized using Xilinx The design is implemented using Spartan III FPGA. Keywords: LFSR, Pipelining, Propagation delay, Self-tuning, Wave-pipelining. I. INTRODUCTION As the complexity of the circuit increases, the number of components also increases and so does the gate count. Hardware components in SOC include one or more processors, memories and dedicated components for accelerating critical tasks. Hence the power dissipation, clock routing complexity and clock skews between different parts of a synchronous system increases. These limitations can be overcome to a certain extent by using wave-pipelining [1]. Wave pipelining enables a combinational circuit to be operated at a higher frequency without the use of intermediate registers as in the case of pipelined circuit. It also lowers the clock routing complexity and the power dissipation compared to pipelined circuits. The maximization of the operating speed of the wave pipelined circuit requires the following three tasks: Adjustment of clock period Adjustment of clock skew Equalization of path delays The automation of all these three tasks will be done in this project. Effectiveness of the automation scheme is studied by using a multiplier circuit. II. WAVE-PIPELINED CIRCUIT Pipelining is usually employed to increase the speed of digital circuits. Pipelining can be conventional or wave pipelined. Conventional pipelining partitions the combinational logic into smaller chunks and inserts registers at theboundaries. The clock period depends upon the 41

2 propagation delay of the longest path betweenany tworegisters in conventional circuits. In wave pipelined circuit, the logic path is long enough. Hence the data dispersion is small. System can send multiple sets of data (waves) through the logic at a faster clock rate and without latching the data on the way [2]. Illustration of a wave pipelined circuit is shown in Fig.1 III. REVIEW OF PREVIOUS WORK Fig. 1 Illustration of a wave pipelined circuit Wave pipelined circuits achieve a speedup of N, where N denotes the number of data waves that propagate simultaneously through the circuit. In conventional pipelined circuits, similar speed-up is achieved; with N is the number of stages [2]. The clock for any digital circuit is given by Tck>Dmax- Dmin + Tsu +Th + (2*Tskew) where Tck is the clock cycle, Dmax is the maximum propagation delay, Dmin is the minimum clock delay, Tsu is the set up time, Th is the hold time of the registers [2]. To minimize Tck, (Dmax-Dmin) should be minimized. This can be done by equalizing the maximum and minimum path delays. The maximum and minimum path delays, input-output registers along with the clock is indicated in Fig.2 Commercial tools have been used to automate the process of wavepipelining. Generating the netlist the most complicated phase [2].Wave pipelining is also more susceptible to process and environmental variation than conventional pipelining [2]. Fig. 2 The maximum and minimum path delays of a logic block Stacked CMOS logic gates are used to obtain the same transistor depth for both p-logic and n-logic and hence balance the delay. Delay balancing elements such as inverters and buffers are added to equalize the maximum and minimum delay paths. Look table based approach can also be employed to automate the wave pipelined circuits [3]. 42

3 IV. PROPOSED SYSTEM Wave pipelining can be automated by using BIST (Built-in Self-Test) approach. The test vectors are applied to the logic circuit. A syndrome is generated from the outputs and it is compared with the signature which is pre-computed. The clock period is increased by using a counter until the correct outputs are obtained. The clock period for which the proper outputs are obtained is chosen to be the clock period of the digital circuit.delay balancing is done by using the following steps: The circuit is initially built using the gates with equal number of transistors in p-logic and n-logic. Inverters or buffers are added wherever required. The block diagram of the proposed system is shown in Fig.3. The various blocks of the circuit are: Mux1, Mux2: 2 input multiplexers with a select signal. I/P Registers: It is used to latch the inputs to the combinational circuit. Combinational circuit :The 16X16 multiplier as explained in Section V O/P Register: The register which is clocked after a clock skew to obtain the correct output. Clock Skew: It is the circuit required to generate the clock difference between the input and the output registers of the combinational circuit. LFSR Block: It is used to generate the address for RAM1, RAM2 and signature match circuit. RAM1, RAM2: Memory units required to store the inputs for test mode of operation. Signature match circuit with RAM: The RAM stores the expected products corresponding to the addresses of the LFSR Block. The signature match circuit compares the obtained result with the result stored in RAM and generates the error signal, in case of mismatch. LOCK signal: when the error signal goes low, the clock skew has to be fixed which is indicated by LOCK signal. V. DESIGN OF THE LOGIC BLOCK Fig. 3 Block diagram Digital signal processing is used for a variety of applications such as frequency selective filters (low pass, band pass, high pass, band reject), adaptive filters, equalizers, block matching algorithm for motion estimation, computation of transforms like DFT. In all these applications multipliers are used as one of the fundamental blocks [1]. 43

4 Implementation of an (16X16) multiplier using wave pipelined circuits can improve the overall performance of the system. The block diagram for the multiplier circuit is shown in Fig.4 INPUTS A PP15 PP14 PP2 PP1 PP0 B P[31:15] P[14] OUTPUT P[2] P[1] P[0] Fig. 4 Multiplier Circuit For non pipelined circuit, there are no registers inserted. For conventional pipelined circuit, the registers are inserted at all the stages. It is indicated as dotted lines in the figure 4. For wave pipelined configuration, the set of registers are present only at input stage and output stage. It is indicated as A and B in Fig. 4. Sixteen 2-input AND gates are required to generate the partial products PP0 to pp15. The partial products thus obtained are shifted and added using 16- bit adders successively to obtain the 32 bit product of the 16 bit multiplier and 16-bit multiplicand. Fifteen 16-bit adders are used to add the partial products. VI. SIMULATION RESULTS AND COMPARISON The proposed system is implemented using Xilinx 12.2 and simulated using Modelsim simulator. The circuit is implemented for a 16X16 multiplier. The results are tabulated as shown in Table 1. The simulated waveform for pipelined circuit is shown in Fig.5 Table 1 Implementation results of multipliers Schemes No. of slices Frequency (MHz) Conventional Pipelining Non-pipelining Wave-pipelining

5 VII. FPGA IMPLEMENTATION Fig. 5 Simulation Results for a Pipelined Multiplier The wave pipelined circuit with self tuning for clock skew and clock period is implemented using Xilinx Spartan-3 XC3S400 FPGA. An image of the FPGA implementation is shown in figure 6. Initially the circuit is operated in the test mode. The test_in signal is used to provide the test inputs to the multiplier circuit. Initially the clock skew and clock skew are not adjusted. Hence there is a mismatch in the obtained result and the stored result. This is indicated by the error signal going high. As the clock skew and output clock period gets adjusted, the correct answer is obtained. The error signal goes low. After the clock skew gets locked, the device can be operated in normal mode. Fig. 6 FPGA Implementation 45

6 VIII. CONCLUSIONS In this project, a 16x16 multiplier is considered as a combinational circuit. It is implemented in all the three configurations, namely non pipelined, conventional pipelined and wave pipelined schemes. The frequency of operation and area (in terms of number of slices) are compared. The frequency of operation is increased 8.66 times compared to non-pipelined circuit. The area requirement is also reduced by a factor of 1.57 with respect to conventional pipelined circuit. Hence it is evident that wave pipelining can be used in any combinational circuit whose frequency of operation has to be increased. Also, a circuit has been implemented which allows for selection of output clock and clock skew with respect to the input clock. The PVT (Process, voltage and Temperature) variations do not affect the performance of the circuit. The clock skew and output clock can be self-tuned whenever the delay of the circuit changes with PVT variations. The wave pipelined circuit with self-tuning for clock skew and clock period is implemented using Xilinx Spartan-3 FPGA and the results are verified. IX. ACKNOWLEDGMENT I would like to express my heartfelt gratitude to my Guide Ms.Meena Priya Dharshini, Associate Professor, Electronics and Communication Engineering, CMR Institute of Technology for her timely advice on the technical seminar and regular assistance throughout the project work. I extend my sincere thanks to Dr.Indumathi.G Head of the Department, Electronics And Communication Engineering, CMR Institute of Technology, for her constant encouragement. I also extend my sense of gratitude and sincere thanks to all the faculty members of Electronics And Communication Engineering, CMR Institute of Technology, for their constant encouragement and support. REFERENCES [1] RengaprabhuParamasivam, V. Adhinarayanan, S. Gopalakrishnan, Design and implementation of Automated Wave-Pipelined Circuit using ASIC, IEEE 2012 [2] WooKim, YongKim, Automating Wave-pipelined Circuit Design, IEEE Design & Test of Computers, Vol. 20, Nov [3] E. I. Boemo, S. Lopez-Buedo and J. M. Meneses, Wave pipelines via look-up tables,ieee International Symposium on Circuits and Systems ISCAS, [4] J. Nyathi and J. G. Delgado-Frias, A hybrid wave pipelined network router,ieee Transactions on Circuits and Systems I: Fundamental Theory and Applications, Dec [5] W. P. Burleson, M. Ciesielski, F. Klass, and Liu, Wave pipelining: a tutorial and research survey, IEEE Transactions on Very Large Scale Integration (VLSI)Systems, Sep [6] Woo Jin Kim, Yong-Bin Kim, Wave Pipelined Circuits Synthesis, Instrumentation and Measurement Technology Conference, IMTC [7] Kevin J. Nowka and Michael J. Flynn, Environmental limits on the performance of CMOS wave-pipelined circuits, Technical Report CSL-TR ,Departments of Electrical Engineering and Computer Science, Stanford University, January [8] Peter J. Ashenden, Digital Design, An Embedded Systems approach using Verilog, Morgan Kaufmann Publishers, Elsevier [9] Spartan-3 FPGA Family Data Sheet- Xilinx. 46

Implementation and Performance Analysis of different Multipliers

Implementation and Performance Analysis of different Multipliers Implementation and Performance Analysis of different Multipliers Pooja Karki, Subhash Chandra Yadav * Department of Electronics and Communication Engineering Graphic Era University, Dehradun, India * Corresponding

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

International Research Journal in Advanced Engineering and Technology (IRJAET)

International Research Journal in Advanced Engineering and Technology (IRJAET) International Research Journal in Advanced Engineering and Technology (IRJAET) ISSN (Print) : 2454-4744 ISSN (Online) : 2454-4752 (www.irjaet.com) Vol. 1, Issue 2, pp.36-42, July, 2015 RESEARCH ARTICLE

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

International Journal of Modern Trends in Engineering and Research

International Journal of Modern Trends in Engineering and Research Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com FPGA Implementation of High Speed Architecture

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Design of Low Power Baugh Wooley Multiplier Using CNTFET

Design of Low Power Baugh Wooley Multiplier Using CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 50-54, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Design of Low Power Baugh Wooley Multiplier Using CNTFET Nayana Remesh,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

Design of an optimized multiplier based on approximation logic

Design of an optimized multiplier based on approximation logic ISSN:2348-2079 Volume-6 Issue-1 International Journal of Intellectual Advancements and Research in Engineering Computations Design of an optimized multiplier based on approximation logic Dhivya Bharathi

More information

SQRT CSLA with Less Delay and Reduced Area Using FPGA

SQRT CSLA with Less Delay and Reduced Area Using FPGA SQRT with Less Delay and Reduced Area Using FPGA Shrishti khurana 1, Dinesh Kumar Verma 2 Electronics and Communication P.D.M College of Engineering Shrishti.khurana16@gmail.com, er.dineshverma@gmail.com

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture N.SALMASULTHANA 1, R.PURUSHOTHAM NAIK 2 1Asst.Prof, Electronics & Communication Engineering, Princeton College of engineering

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Optimized Design and Implementation of an Iterative Logarithmic Signed Multiplier Sanjeev kumar Patel, Vinod

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder Implementation of 5-bit High Speed and Area Efficient Carry Select Adder C. Sudarshan Babu, Dr. P. Ramana Reddy, Dept. of ECE, Jawaharlal Nehru Technological University, Anantapur, AP, India Abstract Implementation

More information

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder High Speed Vedic Multiplier Designs Using Novel Carry Select Adder 1 chintakrindi Saikumar & 2 sk.sahir 1 (M.Tech) VLSI, Dept. of ECE Priyadarshini Institute of Technology & Management 2 Associate Professor,

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

ISSN Vol.03,Issue.02, February-2014, Pages:

ISSN Vol.03,Issue.02, February-2014, Pages: www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.02, February-2014, Pages:0239-0244 Design and Implementation of High Speed Radix 8 Multiplier using 8:2 Compressors A.M.SRINIVASA CHARYULU

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

High Speed Speculative Multiplier Using 3 Step Speculative Carry Save Reduction Tree

High Speed Speculative Multiplier Using 3 Step Speculative Carry Save Reduction Tree High Speed Speculative Multiplier Using 3 Step Speculative Carry Save Reduction Tree Alfiya V M, Meera Thampy Student, Dept. of ECE, Sree Narayana Gurukulam College of Engineering, Kadayiruppu, Ernakulam,

More information

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN XXVII SIM - South Symposium on Microelectronics 1 Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN Jorge Tonfat, Ricardo Reis jorgetonfat@ieee.org, reis@inf.ufrgs.br Grupo de Microeletrônica

More information

AN NOVEL VLSI ARCHITECTURE FOR URDHVA TIRYAKBHYAM VEDIC MULTIPLIER USING EFFICIENT CARRY SELECT ADDER

AN NOVEL VLSI ARCHITECTURE FOR URDHVA TIRYAKBHYAM VEDIC MULTIPLIER USING EFFICIENT CARRY SELECT ADDER AN NOVEL VLSI ARCHITECTURE FOR URDHVA TIRYAKBHYAM VEDIC MULTIPLIER USING EFFICIENT CARRY SELECT ADDER S. Srikanth 1, A. Santhosh Kumar 2, R. Lokeshwaran 3, A. Anandhan 4 1,2 Assistant Professor, Department

More information

VLSI IMPLEMENTATION OF AREA, DELAYANDPOWER EFFICIENT MULTISTAGE SQRT-CSLA ARCHITECTURE DESIGN

VLSI IMPLEMENTATION OF AREA, DELAYANDPOWER EFFICIENT MULTISTAGE SQRT-CSLA ARCHITECTURE DESIGN VLSI IMPLEMENTATION OF AREA, DELAYANDPOWER EFFICIENT MULTISTAGE SQRT-CSLA ARCHITECTURE DESIGN #1 KANTHALA GAYATHRI Pursuing M.Tech, #2 K.RAVI KUMAR - Associate Professor, SREE CHAITANYA COLLEGE OF ENGINEERING,

More information

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture Syed Saleem, A.Maheswara Reddy M.Tech VLSI System Design, AITS, Kadapa, Kadapa(DT), India Assistant Professor, AITS, Kadapa,

More information

ASIC Design and Implementation of SPST in FIR Filter

ASIC Design and Implementation of SPST in FIR Filter ASIC Design and Implementation of SPST in FIR Filter 1 Bency Babu, 2 Gayathri Suresh, 3 Lekha R, 4 Mary Mathews 1,2,3,4 Dept. of ECE, HKBK, Bangalore Email: 1 gogoobabu@gmail.com, 2 suresh06k@gmail.com,

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Modelling Of Adders Using CMOS GDI For Vedic Multipliers

Modelling Of Adders Using CMOS GDI For Vedic Multipliers Modelling Of Adders Using CMOS GDI For Vedic Multipliers 1 C.Anuradha, 2 B.Govardhana, 3 Madanna, 1 PG Scholar, Dept Of VLSI System Design, Geetanjali College Of Engineering And Technology, 2 Assistant

More information

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS JDT-002-2013 EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS E. Prakash 1, R. Raju 2, Dr.R. Varatharajan 3 1 PG Student, Department of Electronics and Communication Engineeering

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE R.ARUN SEKAR 1 B.GOPINATH 2 1Department Of Electronics And Communication Engineering, Assistant Professor, SNS College Of Technology,

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 1 M.Tech student, ECE, Sri Indu College of Engineering and Technology,

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1 Power Minimization using Voltage reduction and Parallel Processing Sudheer Vemula Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL. Goal of the project:- To reduce the power consumed

More information

Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder

Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder Journal From the SelectedWorks of Kirat Pal Singh Winter November 17, 2016 Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder P. Nithin, SRKR Engineering College, Bhimavaram N. Udaya Kumar,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen Abstract A new low area-cost FIR filter design is proposed using a modified Booth multiplier based on direct form

More information

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors M.Satheesh, D.Sri Hari Student, Dept of Electronics and Communication Engineering, Siddartha Educational Academy

More information

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 8, 2015, PP 37-49 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org FPGA Implementation

More information

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers IOSR Journal of Business and Management (IOSR-JBM) e-issn: 2278-487X, p-issn: 2319-7668 PP 43-50 www.iosrjournals.org A Survey on A High Performance Approximate Adder And Two High Performance Approximate

More information

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Yelle Harika M.Tech, Joginpally B.R.Engineering College. P.N.V.M.Sastry M.S(ECE)(A.U), M.Tech(ECE), (Ph.D)ECE(JNTUH), PG DIP

More information

HIGH-PERFORMANCE HYBRID WAVE-PIPELINE SCHEME AS IT APPLIES TO ADDER MICRO-ARCHITECTURES

HIGH-PERFORMANCE HYBRID WAVE-PIPELINE SCHEME AS IT APPLIES TO ADDER MICRO-ARCHITECTURES HIGH-PERFORMANCE HYBRID WAVE-PIPELINE SCHEME AS IT APPLIES TO ADDER MICRO-ARCHITECTURES By JAMES E. LEVY A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Implementation and Performance Evaluation of Prefix Adders uing FPGAs

Implementation and Performance Evaluation of Prefix Adders uing FPGAs IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 1 (Sep-Oct. 2012), PP 51-57 Implementation and Performance Evaluation of Prefix Adders uing

More information

Performance Analysis of Multipliers in VLSI Design

Performance Analysis of Multipliers in VLSI Design Performance Analysis of Multipliers in VLSI Design Lunius Hepsiba P 1, Thangam T 2 P.G. Student (ME - VLSI Design), PSNA College of, Dindigul, Tamilnadu, India 1 Associate Professor, Dept. of ECE, PSNA

More information

Design of High Performance Modified Wave pipelined DAA Filter with Critical Path Approach

Design of High Performance Modified Wave pipelined DAA Filter with Critical Path Approach Design of High Performance Modified Wave pipelined DAA Filter with Critical Path Approach Design of High Performance Modified Wave pipelined DAA Filter with Critical Path Approach 1 Charanjit Singh, 2

More information

A Novel Approach to 32-Bit Approximate Adder

A Novel Approach to 32-Bit Approximate Adder A Novel Approach to 32-Bit Approximate Adder Shalini Singh 1, Ghanshyam Jangid 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan, India 2 Assistant Professor, Department

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

International Journal of Emerging Technology and Advanced Engineering Website: (ISSN , Volume 2, Issue 7, July 2012)

International Journal of Emerging Technology and Advanced Engineering Website:  (ISSN , Volume 2, Issue 7, July 2012) Parallel Squarer Design Using Pre-Calculated Sum of Partial Products Manasa S.N 1, S.L.Pinjare 2, Chandra Mohan Umapthy 3 1 Manasa S.N, Student of Dept of E&C &NMIT College 2 S.L Pinjare,HOD of E&C &NMIT

More information

A NOVEL IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING BRENT KUNG CARRY SELECT ADDER K. Golda Hepzibha 1 and Subha 2

A NOVEL IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING BRENT KUNG CARRY SELECT ADDER K. Golda Hepzibha 1 and Subha 2 A NOVEL IMPLEMENTATION OF HIGH SPEED MULTIPLIER USING BRENT KUNG CARRY SELECT ADDER K. Golda Hepzibha 1 and Subha 2 ECE Department, Sri Manakula Vinayagar Engineering College, Puducherry, India E-mails:

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers

Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Implementation of Parallel MAC Unit in 8*8 Pre- Encoded NR4SD Multipliers Justin K Joy 1, Deepa N R 2, Nimmy M Philip 3 1 PG Scholar, Department of ECE, FISAT, MG University, Angamaly, Kerala, justinkjoy333@gmail.com

More information

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC V.Reethika Rao (1), Dr.K.Ragini (2) PG Scholar, Dept of ECE, G. Narayanamma Institute of Technology and Science,

More information

Pipelined Linear Convolution Based On Hierarchical Overlay UT Multiplier

Pipelined Linear Convolution Based On Hierarchical Overlay UT Multiplier Pipelined Linear Convolution Based On Hierarchical Overlay UT Multiplier Pranav K, Pramod P 1 PG scholar (M Tech VLSI Design and Signal Processing) L B S College of Engineering Kasargod, Kerala, India

More information

ISSN Vol.07,Issue.08, July-2015, Pages:

ISSN Vol.07,Issue.08, July-2015, Pages: ISSN 2348 2370 Vol.07,Issue.08, July-2015, Pages:1397-1402 www.ijatir.org Implementation of 64-Bit Modified Wallace MAC Based On Multi-Operand Adders MIDDE SHEKAR 1, M. SWETHA 2 1 PG Scholar, Siddartha

More information

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS Suchismita Sengupta M.Tech Student, VLSI & EMBEDDED Systems, Dept. Of Electronics &

More information

DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER

DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER DESIGN OF CARRY SELECT ADDER WITH REDUCED AREA AND POWER S.Srinandhini 1, C.A.Sathiyamoorthy 2 PG scholar, Arunai College Of Engineering, Thiruvannamalaii 1, Head of dept, Dept of ECE,Arunai College Of

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Vijay Dhar Maurya 1, Imran Ullah Khan 2 1 M.Tech Scholar, 2 Associate Professor (J), Department of

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3

Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3 Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3 1Professor and Academic Dean, Department of E&TC, Shri. Gulabrao Deokar College of Engineering,

More information

Efficient Carry Select Adder Using VLSI Techniques With Advantages of Area, Delay And Power

Efficient Carry Select Adder Using VLSI Techniques With Advantages of Area, Delay And Power Efficient Carry Select Adder Using VLSI Techniques With Advantages of Area, Delay And Power Abstract: Carry Select Adder (CSLA) is one of the high speed adders used in many computational systems to perform

More information

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY JasbirKaur 1, Sumit Kumar 2 Asst. Professor, Department of E & CE, PEC University of Technology, Chandigarh, India 1 P.G. Student,

More information

II. LITERATURE REVIEW

II. LITERATURE REVIEW ISSN: 239-5967 ISO 9:28 Certified Volume 4, Issue 3, May 25 A Survey of Design and Implementation of High Speed Carry Select Adder SWATI THAKUR, SWATI KAPOOR Abstract This paper represent the reviewing

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Dr.N.C.sendhilkumar, Assistant Professor Department of Electronics and Communication Engineering Sri

More information

Design and Implementation of FPGA Based Digital Base Band Processor for RFID Reader

Design and Implementation of FPGA Based Digital Base Band Processor for RFID Reader Indian Journal of Science and Technology, Vol 10(1), DOI: 10.17485/ijst/2017/v10i1/109394, January 2017 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Implementation of FPGA Based Digital

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

High Speed Non Linear Carry Select Adder Used In Wallace Tree Multiplier and In Radix-4 Booth Recorded Multiplier

High Speed Non Linear Carry Select Adder Used In Wallace Tree Multiplier and In Radix-4 Booth Recorded Multiplier High Speed Non Linear Carry Select Adder Used In Wallace Tree Multiplier and In Radix-4 Booth Recorded Multiplier 1 Anna Johnson 2 Mr.Rakesh S 1 M-Tech student, ECE Department, Mangalam College of Engineering,

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN: 2278-2834 Volume 1, Issue 4 (May-June 2012), PP 33-37 Comparative Study of High performance Braun s Multiplier using FPGAs Anitha

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK PARALLEL ARRAY MULTIPLIER DESIGN TECHNIQUES VIGHNESH KADOLKAR 1, SONIA KUWELKAR

More information

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder

Architecture for Canonic RFFT based on Canonic Sign Digit Multiplier and Carry Select Adder Architecture for Canonic based on Canonic Sign Digit Multiplier and Carry Select Adder Pradnya Zode Research Scholar, Department of Electronics Engineering. G.H. Raisoni College of engineering, Nagpur,

More information

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 7, July 2015, pg.21

More information

Research Journal of Pharmaceutical, Biological and Chemical Sciences

Research Journal of Pharmaceutical, Biological and Chemical Sciences Research Journal of Pharmaceutical, Biological and Chemical Sciences Optimizing Area of Vedic Multiplier using Brent-Kung Adder. V Anand, and V Vijayakumar*. Department of Electronics and Communication

More information

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA Shaik Magbul Basha 1 L. Srinivas Reddy 2 magbul1000@gmail.com 1 lsr.ngi@gmail.com 2 1 UG Scholar, Dept of ECE, Nalanda Group of Institutions,

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Performance Enhancement of the RSA Algorithm by Optimize Partial Product of Booth Multiplier

Performance Enhancement of the RSA Algorithm by Optimize Partial Product of Booth Multiplier International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 8 (2017) pp. 1329-1338 Research India Publications http://www.ripublication.com Performance Enhancement of the

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER

AREA EFFICIENT DISTRIBUTED ARITHMETIC DISCRETE COSINE TRANSFORM USING MODIFIED WALLACE TREE MULTIPLIER American Journal of Applied Sciences 11 (2): 180-188, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.180.188 Published Online 11 (2) 2014 (http://www.thescipub.com/ajas.toc) AREA

More information

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. PP 42-46 www.iosrjournals.org Design and Simulation of Convolution Using Booth Encoded Wallace

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Paluri Nagaraja 1 Kanumuri Koteswara Rao 2 Nagaraja.paluri@gmail.com 1 koti_r@yahoo.com 2 1 PG Scholar, Dept of ECE,

More information

DESIGN OF A HIGH SPEED MULTIPLIER BY USING ANCIENT VEDIC MATHEMATICS APPROACH FOR DIGITAL ARITHMETIC

DESIGN OF A HIGH SPEED MULTIPLIER BY USING ANCIENT VEDIC MATHEMATICS APPROACH FOR DIGITAL ARITHMETIC DESIGN OF A HIGH SPEED MULTIPLIER BY USING ANCIENT VEDIC MATHEMATICS APPROACH FOR DIGITAL ARITHMETIC Anuj Kumar 1, Suraj Kamya 2 1,2 Department of ECE, IIMT College Of Engineering, Greater Noida, (India)

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, DESIGN OF SEQUENTIAL CIRCUITS USING MULTI-VALUED LOGIC BASED ON QDGFET Chetan T. Bulbule 1, S. S. Narkhede 2 Department of E&TC PICT Pune India chetanbulbule7@gmail.com 1, ssn_pict@yahoo.com 2 Abstract

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL

Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL Design and Implementation of 64-bit MAC Unit for DSP Applications using verilog HDL 1 Shaik. Mahaboob Subhani 2 L.Srinivas Reddy Subhanisk491@gmal.com 1 lsr@ngi.ac.in 2 1 PG Scholar Dept of ECE Nalanda

More information

2. URDHAVA TIRYAKBHYAM METHOD

2. URDHAVA TIRYAKBHYAM METHOD ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Area Efficient and High Speed Vedic Multiplier Using Different Compressors 1 RAJARAPU

More information