A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS

Size: px
Start display at page:

Download "A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS"

Transcription

1 A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS Suchismita Sengupta M.Tech Student, VLSI & EMBEDDED Systems, Dept. Of Electronics & Communication Engineering, Capgs, Rourkela, BPUT, Odisha. Ananya Dastidar Asst. Professor, Dept. Of Instrumentation & Electronics, Cet, Bhubaneswar, BPUT, Odisha. Abstract Combinational logic finds extensive applications in low power VLSI design, quantum and optical computing. This paper studies a Full Subtractor that has been implemented in both Digital and Analog environments. The Analog design has been carried out in Cadence Virtuoso Generic Process Design Kit 45 (gpdk45). In the 45nm regime the design was tested with load and no load conditions in a temperature ranging from º Celsius to 52º Celsius in order to check the circuit s stability in the temperature range of Rourkela. The Digital design has been carried out in Xilinx ISE Design Suite The Full Subtractor has been implemented using different design techniques. Verilog HDL has been used to implement the digital design. The aim of this work is to design a low power and low voltage circuit that may be utilized in current trends of design. The Full Subtractor implemented and investigated here gave an average power dissipation of nw (under no load conditions) and 1µW (under load conditions) at 27º Celsius. Keywords: Full Subtractor, Cadence, 45nm, Xilinx. 1. Introduction One of the important modules of any processor is its Arithmetic and Logical Unit (ALU). It contains adders, subtractors, and multiplication and division blocks to handle the arithmetic operations. In this paper, a Full Subtractor has been considered for our study. A 1-bit Full Subtractor [1] has three inputs and two outputs. It is used for subtraction of its inputs. A Full Subtractor finds its application in optical communication as well. [2] Figure 1: Full Subtractor Block Diagram An Adder and a Subtractor circuits can be used inter changeably. Moreover the other arithmetic operations like Multiplication and Division can also be implemented using this basic block. [7][1]. Reduction of complexity of processor is the main goal of Design Engineers [4].Using a Subtractor for implementing the other blocks of an ALU will result in reduction of the number of Logic Blocks in an Integrated Circuit (IC). This reduction in area can be utilized to implement more number of functions in the same substrate area. 25

2 time in ns The study of this circuit comes from the fact that many research is being carried out to using advanced techniques for use in current integrated circuit designs [8][9][1] Table 1: Full Subtractor truth table [1] X Y Bin D Bout Digital Implementation of a Full Subtractor Circuit A Full Subtractor was implemented using Verilog in Xilinx ISE 14.5 Design Suite. The implementation was done using only NAND gates, using only NOR gates and using basic Logic gates and compared for different Field Programmable Gate Array (FPGA) configurations. The objective was to analyze the different attributes of the FPGAs namely Spartan 6 Low Power, Kintex 7 Low Voltage and Artix 7 Low Voltage. The register transfer level (RTL) schematic of the Full Subtractor can be seen in the Figure 2. Figure 2: Full Subtractor RTL Schematic generated via Xilinx ISE Design Suite 14.5 The supply voltages of the three mentioned FPGAs are in the Ultra-Low Voltage range and are as follows:- V dd for Spartan 6 Low Power =.95 V V dd for Kintex 7 Low Voltage =.87 V V dd for Artix 7 Low Voltage =.87 V The different attributes has been analyzed and presented in graphical form. The delay of Spartan 6 Low Power was found to be ns, which was found to be the highest. Delay of Kintex 7 Low Voltage was found to be 1.17 ns and Figure 3: Graphical Representation of Delay that of Artix 7 Low Voltage was 1.49 ns. The frequency of these FPGAs was calculated and is as follows: SPARTAN 6 LOW POWER Frequency (F) = Eq n (1) DELAY COMPARISON KINTEX 7 LOW VOLTAGE ARTIX 7 LOW VOLTAGE NAND NOR BASICS Therefore, F Spartan6 =.1325 GHz, F Kintex7 =.983 GHz, F Artix7 =.953 GHz. Taking the ideal voltage for C load to be 6fF, we have calculated the Dynamic Power Dissipation. Dynamic Power was calculated using the formula, P dyn = C load *V 2 dd *F Eq n (2) Hence, the Dynamic Power Dissipation for the mentioned FPGAs are as follows:- 251

3 POWER in nw Total Power Dissipation in nw P dyn Spartan 6 Low Power = nw Figure 4: Graphical Representation of Dynamic Power Dissipation The Static Power Dissipation was generated by the Xilinx ISE Design Suite 14.5 and is as follows:- P static Spartan 6 = 196 nw P static Kintex 7 = 3872 nw P static Artix 7 = 375 nw We have drawn a comparison amongst the Total Power Dissipation. Comparison of Total Power Dissipation Static Dynamic Total DYNAMIC POWER DISSIPATION technology and are highly suitable for advanced applications. It also provides zero power option in hibernate power down mode. [3] ARTIX 7 Family provides high DSP and logic throughput and are ideal for cost sensitive applications. They are highly preferable for low power applications. [3] From the Figure 5, we find that the KINTEX 7 Family provides the best price-performance and high speed applications. [3] 3. Analog Implementation of a Full Subtractor Circuit After the study of the Full Subtractor design in Digital domain we went on to the implement and study the behavior of the same in Analog domain. Since, the three different implementations of the Full Subtractor circuit i.e. only NAND gates, only NOR gates and only basic Logic gates rendered the same delay and power values, therefore, we designed the Full Subtractor by implementing two Half Subtractors in the Analog domain. The Analog design of the Full Subtractor circuit was done in Cadence Virtuoso Tool. In this paper, we have made our design in 45nm regime only. The Full Subtractor circuit was made by cascading two Half Subtractor circuits. NAND NOR BASICS Figure 5: Graphical Representation of Total Power Dissipation Comparison SPARTAN 6 Family provides the most cost effective FPGAs in the industry. They are built in 45nm Figure 6: Full Subtractor Schematic made in Cadence Virtuoso gpdk

4 Average Power in nw The implemented circuit was tested with load and no load conditions. The supply voltage that given was 9mV, which is in the ultra-low voltage range. The (W) Nmos is 12 nm and hence (W) Pmos is 3 nm. The propagation delay of the concerned circuit was found to be in the range of nano seconds and it varies with varying temperatures. It was observed that the delay decreases with falling temperatures i.e. the implemented circuit gives faster outputs in colder regions or temperatures. Variation of Average Power with varying Temperature º 5º 1º 27º 32º 38º 48º 52º Temperature in degree Celsius Figure 7: Graphical Representation of Average Power Dissipation Comparison The implemented design was simulated with no load conditions and Transient Analysis was performed. The output of the Transient Analysis is given below:- The zero-width glitch/spike in the Difference(D) signal is due to no-load condition and has no effect in the output. This zero-width glitch/spike in the Difference (D) signal was then successfully eradicated under load conditions. Figure 8: Transient Analysis of Full Subtractor circuit in Cadence Virtuoso Tool under no load Figure 9: Full Subtractor under load conditions Figure 1: Transient Analysis of Full Subtractor circuit in Cadence Virtuoso Tool under load 253

5 The Average Power Dissipation of the circuit under load conditions was found to be 1µW at room temperature. 4. Conclusion The Full Subtractor implemented in both digital and analog domains of designing have proved to have High-Speed operation, runs on an Ultra-Low Voltage supply and even has Low Power dissipation.i.e. the implemented design is Energy-Efficient. As mentioned in the Introduction section, a Full Subtractor function as an Adder, a Multiplier and a Divider. Hence it can replace the other three individual circuits. Hence based on this paper we can design an Arithmetic Logic Unit (ALU) which can prove to be an apt component for an Internet of Things (IoT) processor. [5] 5. References [1] M. Morris Mano, Michael D Ciletti, Digital Design with an introduction to the Verilog HDL, 5 th edition 213. [2] Ajay Kumar et al, Implementation of full-adder and full-subtractor based on electro-optic effect in Mach Zehnder interferometers, Elsevier Journal on Optics Communications, 214. [3] [4] Guang-Ming Tang, Kensuke Takata, Masamitsu Tanaka, 4-bit Bit-Slice Arithmetic Logic Unit for 32- bit RSFQ Microprocessors, IEEE Transactions on Applied Superconductivity, 215 [5] Ala Al-Fuqaha, et al, Internet of Things: A Survey on Enabling Technologies, Protocols, and Applications, IEEE communication surveys & tutorials, 215 [6] y_forums [7] Prvinkumar G. Parate et al, ASIC Implementation of 4 Bit Multipliers, International Conference on Emerging Trends in Engineering and Technology, 28. [8] Kai-Wen Cheng et al, Quantum full adder and subtractor, Electronic Letters, IET, 22. [9] Milind Gautam et al, Reduction of leakage current and power in Full Subtractor using MTCMOS technique, International Conference on Computer Communication and Informatics (ICCCI), IEEE, 213. [1] Li Ding et al, Carbon Nanotube Field Effect Transistors for use as Pass Transistors in Integrated logic gates and Full Subtractor Circuits, American Chemical Society,

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology

Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology Journal From the SelectedWorks of Kirat Pal Singh Summer August 28, 2015 Energy Efficient and High Performance 64-bit Arithmetic Logic Unit using 28nm Technology Shruti Murgai, ASET, AMITY University,

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 1 M.Tech student, ECE, Sri Indu College of Engineering and Technology,

More information

Power Optimization for Ripple Carry Adder with Reduced Transistor Count

Power Optimization for Ripple Carry Adder with Reduced Transistor Count e-issn 2455 1392 Volume 2 Issue 5, May 2016 pp. 146-154 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Power Optimization for Ripple Carry Adder with Reduced Transistor Count Swarnalika

More information

Design and Implementation of Reversible Multiplier using optimum TG Full Adder

Design and Implementation of Reversible Multiplier using optimum TG Full Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 3, Ver. IV (May - June 2017), PP 81-89 www.iosrjournals.org Design and Implementation

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier

ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier INTERNATIONAL JOURNAL OF APPLIED RESEARCH AND TECHNOLOGY ISSN 2519-5115 RESEARCH ARTICLE ASIC Implementation of High Speed Area Efficient Arithmetic Unit using GDI based Vedic Multiplier 1 M. Sangeetha

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN M. Manoranjani 1 and T. Ravi 2 1 M.Tech, VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

Implementation and Performance Analysis of different Multipliers

Implementation and Performance Analysis of different Multipliers Implementation and Performance Analysis of different Multipliers Pooja Karki, Subhash Chandra Yadav * Department of Electronics and Communication Engineering Graphic Era University, Dehradun, India * Corresponding

More information

Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student (ECE), 2 Associate Professor

Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student (ECE), 2 Associate Professor International Journal of Engineering Trends and Technology (IJETT) olume 26 Number 1- August 2015 Comparator Design Analysis using Efficient Low Power Full Adder Meena Aggarwal 1, Rajesh Mehra 2 1 ME student

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

An Area Efficient and High Speed Reversible Multiplier Using NS Gate

An Area Efficient and High Speed Reversible Multiplier Using NS Gate RESEARCH ARTICLE OPEN ACCESS An Area Efficient and High Speed Reversible Multiplier Using NS Gate Venkateswarlu Mukku 1, Jaddu MallikharjunaReddy 2 1 Asst.Professor,Dept of ECE, Universal College Of Engineering

More information

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore

Anitha R 1, Alekhya Nelapati 2, Lincy Jesima W 3, V. Bagyaveereswaran 4, IEEE member, VIT University, Vellore IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN: 2278-2834 Volume 1, Issue 4 (May-June 2012), PP 33-37 Comparative Study of High performance Braun s Multiplier using FPGAs Anitha

More information

EEE 301 Digital Electronics

EEE 301 Digital Electronics EEE 301 Digital Electronics Lecture 1 Course Contents Introduction to number systems and codes. Analysis and synthesis of digital logic circuits: Basic logic functions, Boolean algebra,combinational logic

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology. ISSN: 2454-132X Impact factor: 4.295 (Volume3, Issue6) Available online at www.ijariit.com Implementation of Pull-Up/Pull-Down Network for Energy Optimization in Full Adder Circuit P. Aarthi Assistant

More information

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA Shruti Dixit 1, Praveen Kumar Pandey 2 1 Suresh Gyan Vihar University, Mahaljagtapura, Jaipur, Rajasthan, India 2 Suresh Gyan Vihar University,

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Subtractor Logic Schematic

Subtractor Logic Schematic Function Of Xor Gate In Parallel Adder Subtractor Logic Schematic metic functions, including half adder, half subtractor, full adder, independent logic gates to form desired circuits based on dif- by integrating

More information

ISSN:

ISSN: 421 DESIGN OF BRAUN S MULTIPLIER USING HAN CARLSON AND LADNER FISCHER ADDERS CHETHAN BR 1, NATARAJ KR 2 Dept of ECE, SJBIT, Bangalore, INDIA 1 chethan.br44@gmail.com, 2 nataraj.sjbit@gmail.com ABSTRACT

More information

DESIGN OF LOW-POWER ADDER USING DOUBLE GATE & MTCMOS TECHNOLOGY

DESIGN OF LOW-POWER ADDER USING DOUBLE GATE & MTCMOS TECHNOLOGY DESIGN OF LOW-POWER ADDER USING DOUBLE GATE & MTCMOS TECHNOLOGY 1 K. PRIYANKA, 2 K. NEHRU, 3 S. RAMBABU, 4 NANDEESH KUMAR KUMARAVELU 1 M.Tech Student, Department of ECE, Institute of Aeronautical Engineering,

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Efficient Multi-Operand Adders in VLSI Technology

Efficient Multi-Operand Adders in VLSI Technology Efficient Multi-Operand Adders in VLSI Technology K.Priyanka M.Tech-VLSI, D.Chandra Mohan Assistant Professor, Dr.S.Balaji, M.E, Ph.D Dean, Department of ECE, Abstract: This paper presents different approaches

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

FPGA IMPLENTATION OF REVERSIBLE FLOATING POINT MULTIPLIER USING CSA

FPGA IMPLENTATION OF REVERSIBLE FLOATING POINT MULTIPLIER USING CSA FPGA IMPLENTATION OF REVERSIBLE FLOATING POINT MULTIPLIER USING CSA Vidya Devi M 1, Lakshmisagar H S 1 1 Assistant Professor, Department of Electronics and Communication BMS Institute of Technology,Bangalore

More information

Low Power High Speed Differential Current Comparator

Low Power High Speed Differential Current Comparator Low Power High Speed Differential Current Comparator Indrani Roy, Suman Biswas, B. S. Patro 2 M.Tech (VLSI & ES) Student, School of Electronics, KIIT University, Bhubaneswar, India Ph.D Scholar, School

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

Design and Optimization Low Power Adder using GDI Technique

Design and Optimization Low Power Adder using GDI Technique Design and Optimization Low Power Adder using GDI Technique Dolly Gautam 1, Mahima Singh 2, Dr. S. S. Tomar 3 M.Tech. Students, Department of ECE, MPCT College, Gwalior, Madhya Pradesh, India 1-2 Associate

More information

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier

Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier Design of Efficient 64 Bit Mac Unit Using Vedic Multiplier 1 S. Raju & 2 J. Raja shekhar 1. M.Tech Chaitanya institute of technology and science, Warangal, T.S India 2.M.Tech Associate Professor, Chaitanya

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics Design and Implementation of ALU Chip using D3L and Ancient Mathematics Mohanarangan S PG Student (M.E-Applied Electronics) Department of Electronics and Communicaiton Engineering Sri Venkateswara College

More information

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS

DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS DESIGN OF 64 BIT LOW POWER ALU FOR DSP APPLICATIONS Rajesh Pidugu 1, P. Mahesh Kannan 2 M.Tech Scholar [VLSI Design], Department of ECE, SRM University, Chennai, India 1 Assistant Professor, Department

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure

Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure Design and Simulation of 16x16 Hybrid Multiplier based on Modified Booth algorithm and Wallace tree Structure 1 JUILI BORKAR, 2 DR.U.M.GOKHALE 1 M.TECH VLSI (STUDENT), DEPARTMENT OF ETC, GHRIET, NAGPUR,

More information

A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS

A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS G RAMESH et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS G.Ramesh 1*, K.Naga Lakshmi 2* 1. II. M.Tech (VLSI), Dept of ECE, AM Reddy Memorial College

More information

Performance Analysis Comparison of 4-2 Compressors in 180nm CMOS Technology

Performance Analysis Comparison of 4-2 Compressors in 180nm CMOS Technology IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Performance Analysis Comparison of 4-2 Compressors in 180nm CMOS Technology To cite this article: Manish Kumar and Jonali Nath

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY JasbirKaur 1, Sumit Kumar 2 Asst. Professor, Department of E & CE, PEC University of Technology, Chandigarh, India 1 P.G. Student,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 03, March -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 AREA OPTIMIZATION

More information

An area optimized FIR Digital filter using DA Algorithm based on FPGA

An area optimized FIR Digital filter using DA Algorithm based on FPGA An area optimized FIR Digital filter using DA Algorithm based on FPGA B.Chaitanya Student, M.Tech (VLSI DESIGN), Department of Electronics and communication/vlsi Vidya Jyothi Institute of Technology, JNTU

More information

Performance Analysis Comparison of a Conventional Wallace Multiplier and a Reduced Complexity Wallace multiplier

Performance Analysis Comparison of a Conventional Wallace Multiplier and a Reduced Complexity Wallace multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 2, Ver. I (Mar. - Apr. 2015), PP 23-27 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Analysis Comparison

More information

Design of 64-Bit Low Power ALU for DSP Applications

Design of 64-Bit Low Power ALU for DSP Applications Design of 64-Bit Low Power ALU for DSP Applications J. Nandini 1, V.V.M.Krishna 2 1 M.Tech Scholar [VLSI Design], Department of ECE, KECW, Narasaraopet, A.P., India 2 Associate Professor, Department of

More information

Design and Analysis of RNS Based FIR Filter Using Verilog Language

Design and Analysis of RNS Based FIR Filter Using Verilog Language International Journal of Computational Engineering & Management, Vol. 16 Issue 6, November 2013 www..org 61 Design and Analysis of RNS Based FIR Filter Using Verilog Language P. Samundiswary 1, S. Kalpana

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2

DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2 ISSN: 0975-766X CODEN: IJPTFI Available Online through Research Article www.ijptonline.com DESIGN OF FIR FILTER ARCHITECTURE USING VARIOUS EFFICIENT MULTIPLIERS Indumathi M #1, Vijaya Bala V #2 1,2 Electronics

More information

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU R. Rashvenee, D. Roshini Keerthana, T. Ravi and P. Umarani Department of Electronics and Communication Engineering, Sathyabama University,

More information

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier Research Journal of Applied Sciences, Engineering and Technology 8(7): 900-906, 2014 DOI:10.19026/rjaset.8.1051 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted: June

More information

Analysis of New Dynamic Comparator for ADC Circuit

Analysis of New Dynamic Comparator for ADC Circuit RESEARCH ARTICLE OPEN ACCESS Analysis of New Dynamic Comparator for ADC Circuit B. Shiva Kumar *, Fazal Noorbasha**, K. Vinay Kumar ***, N. V. Siva Rama Krishna. T**** * (Student of VLSI Systems Research

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST)

International Journal of Advanced Research in Biology Engineering Science and Technology (IJARBEST) DESIGN AND PERFORMANCE OF BAUGH-WOOLEY MULTIPLIER USING CARRY LOOK AHEAD ADDER T.Janani [1], R.Nirmal Kumar [2] PG Student,Asst.Professor,Department Of ECE Bannari Amman Institute of Technology, Sathyamangalam-638401.

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology

A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology Adyasha Rath 1, Sushanta K. Mandal 2, Subhrajyoti Das 3, Sweta Padma Dash 4 1,3,4 M.Tech Student, School of Electronics Engineering,

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory Lecture #2 by Dr. Choon Kim CSE Department, UCSD chk034@eng.ucsd.edu Lecture #2 1 Digital Technologies CPU(Central Processing Unit) GPU(Graphics Processing

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

Design of Operational Amplifier in 45nm Technology

Design of Operational Amplifier in 45nm Technology Design of Operational Amplifier in 45nm Technology Aman Kaushik ME Scholar Dept. of E&CE, NITTTR Chandigarh Abstract-This paper presents the designing and performance analysis of Operational Transconductance

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Energy Efficient ALU based on GDI Comparator

Energy Efficient ALU based on GDI Comparator Energy Efficient ALU based on GDI Comparator 1 Kiran Balu K, 2 Binu Manohar 1 PG Scholar, 2 Assistant Professor Dept. of ECE Mangalam college of engineering Ettumanoor, Kottayam, Kerala Abstract This paper

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Pardeep Kumar, Susmita Mishra, Amrita Singh

Pardeep Kumar, Susmita Mishra, Amrita Singh Study of Existing Full Adders and To Design a LPFA (Low Power Full Adder) Pardeep Kumar, Susmita Mishra, Amrita Singh 1 Department of ECE, B.M.S.E.C, Muktsar, 2,3 Asstt. Professor, B.M.S.E.C, Muktsar Abstract

More information

Design of Digital FIR Filter using Modified MAC Unit

Design of Digital FIR Filter using Modified MAC Unit Design of Digital FIR Filter using Modified MAC Unit M.Sathya 1, S. Jacily Jemila 2, S.Chitra 3 1, 2, 3 Assistant Professor, Department Of ECE, Prince Dr K Vasudevan College Of Engineering And Technology

More information

Design of low power delay efficient Vedic multiplier using reversible gates

Design of low power delay efficient Vedic multiplier using reversible gates ISSN: 2454-132X Impact factor: 4.295 (Volume 4, Issue 3) Available online at: www.ijariit.com Design of low power delay efficient Vedic multiplier using reversible gates B Ramya bramyabrbg9741@gmail.com

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Design and Implementation of Single Bit ALU Using PTL & GDI Technique

Design and Implementation of Single Bit ALU Using PTL & GDI Technique Volume 5 Issue 1 March 2017 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Design and Implementation of Single Bit ALU Using PTL & GDI

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 ISSN 0976 6464(Print)

More information

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter Dr.N.C.sendhilkumar, Assistant Professor Department of Electronics and Communication Engineering Sri

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method

Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Study on Digital Multiplier Architecture Using Square Law and Divide-Conquer Method Yifei Sun 1,a, Shu Sasaki 1,b, Dan Yao 1,c, Nobukazu Tsukiji 1,d, Haruo Kobayashi 1,e 1 Division of Electronics and Informatics,

More information

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology

Design of NCO by Using CORDIC Algorithm in ASIC-FPGA Technology Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 9 (2013), pp. 1109-1114 Research India Publications http://www.ripublication.com/aeee.htm Design of NCO by Using CORDIC

More information

International Journal of Modern Engineering and Research Technology

International Journal of Modern Engineering and Research Technology Volume 1, Issue 4, October 2014 ISSN: 2348-8565 (Online) International Journal of Modern Engineering and Research Technology Website: http://www.ijmert.org Email: editor.ijmert@gmail.com Vedic Optimized

More information

A Review on Low Power Compressors for High Speed Arithmetic Circuits

A Review on Low Power Compressors for High Speed Arithmetic Circuits A Review on Low Power Compressors for High Speed Arithmetic Circuits Siva Subramanian R 1, Suganya Thevi T 2, Revathy M 3 P.G. Student, Department of ECE, PSNA College of, Dindigul, Tamil Nadu, India 1

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN

International Journal of Scientific & Engineering Research Volume 3, Issue 12, December ISSN International Journal of Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Optimized Design and Implementation of an Iterative Logarithmic Signed Multiplier Sanjeev kumar Patel, Vinod

More information

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic Aneesha John 1, Charishma 2 PG student, Department of ECE, NMAMIT, Nitte, Karnataka, India 1 Assistant Professor, Department of ECE,

More information

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates

Fpga Implementation of Truncated Multiplier Using Reversible Logic Gates International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 12 ǁ December. 2013 ǁ PP.44-48 Fpga Implementation of Truncated Multiplier Using

More information

DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND

DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND DESIGN AND ANALYSIS OF VEDIC MULTIPLIER USING MICROWIND Amita 1, Nisha Yadav 2, Pardeep 3 1,2,3 Student, YMCA University of Science and Technology/Electronics Engineering, Faridabad, (India) ABSTRACT Multiplication

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

Delay, Power performance of 8-Bit ALU Using Carry Look-Ahead Adder with High V t Cell

Delay, Power performance of 8-Bit ALU Using Carry Look-Ahead Adder with High V t Cell Delay, Power performance of 8-Bit ALU Using Carry Look-Ahead Adder with High V t Cell Bhukya Shankar 1, E Chandra Sekhar 2 1 Assistant Professor, CVR College of Engg, ECE Dept, Hydearbad, India 2 Asst.

More information

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY I J C T A, 9(11) 2016, pp. 4947-4956 International Science Press A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY N. Lokabharath Reddy *, Mohinder Bassi **2 and Shekhar Verma

More information

Design of Low Power ALU using GDI Technique

Design of Low Power ALU using GDI Technique Design of Low Power ALU using GDI Technique D.Vigneshwari, K.Siva nagi reddy. Abstract The purpose of this paper is to design low power and area efficient ALU using GDI technique. Main sub modules of ALU

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information