Distributed Voting for Fault-Tolerant Nanoscale Systems

Size: px
Start display at page:

Download "Distributed Voting for Fault-Tolerant Nanoscale Systems"

Transcription

1 Distributed Voting for Fault-Tolerant Nanoscale Systems Ali Namazi and Mehrdad Nourani Center for Integrated Circuits & Systems The University of Texas at Dallas, Richardson, Texas Abstract In this paper, we propose a distributed voting strategy to design a robust NMR system. We show that using inexpensive current-based drivers and buffers, we can completely eliminate the centralized voter unit and do the majority voting among N modules in a distributed fashion. Our strategy achieves high reliability that is vital for future nano systems in which high defect rate is expected. Experimental results are also reported to verify the concept, clarify the design procedure and measure the system s reliability. Introduction. Motivation As the feature size continues to scale down toward 32nm and beyond, manufacturing tools have much less confidence in their control of design parameters. The behavior of VLSI components (e.g. transistors and interconnects) can no longer be evaluated as faulty or fault-free. Rather, there will be some level of uncertainty associated with each component fabricated on silicon []. Various reasons contribute to this uncertainty including the fact that in nanometer VLSI technology, the feature sizes (dimension of devices) are approaching the fundamental physical limit of the existing equipments. Equally challenging, emerging nano-devices such as Carbon nano-tubes (CNT) [2], Quantum-dot cellular automata (QCA) [3] and single electron transistor (SET) [] suffer from unreliability issues as well. As CMOS reaches its physical limits, these new nanoscale devices are proposed as future replacements of the current CMOS technology. Unfortunately, they don t promise any better controllability in the manufacturing process [5]. In the 2005 update, ITRS explicitly calls for a fresh look on nano-architecture with emphasis on defect tolerance [6]. The defect-tolerance philosophy employs the notion of redundancy to create chips that produce correct results in the presence of defects, errors or faulty components. Almost all of redundancy strategies rely on a voting mechanism to extract the final result out of the redundant modules. Therefore, the voter is a critical block in a redundant system and has widely been recognized as a major bottleneck (in terms of reliability) by the industry [7] [8]. However, the voter has usually been excluded from the reliability analysis of a redundant system. One reason is that so far in microscale VLSI the defect rate of transistors/interconnects has been very low. Thus, in an N-tuple Modular Redundant (NMR) system, the voter unit could be ignored since its size (and thus the probability of failure) was significantly lower than that of the modular blocks..2 Prior Work In 956 von Neumann introduced the concept of using redundant components to obtain reliable systems, known as the NAND-Multiplexing technique [9]. Since then, redundancy has been considered as one of the most powerful strategies in designing highly reliable systems. Reliabilitybased approaches usually exploit one of three forms of redundancy, i.e. (i) temporal (time), (ii) spatial (hardware) and (iii) data (information). Methods that employ redundancies in VLSI are sometimes called design for reliability (DFR) techniques [0]. The time redundancy technique repeats the computation at a slightly later time assuming that the source of error (e.g. radiation strike) will not exist during the later operations. An example of hardware redundancy is the famous triple module redundancy (TMR) where we replicate the main hardware unit three times and whose outputs are constantly compared against each other by a majority voter []. If at least two out of three results are identical, they would be considered as the correct result of the system. Error correcting codes (ECC) [2], such as the Hamming code, are good fault-tolerant mechanisms using information redundancy. In ECC, extra bits are added to the raw data to protect it against transient errors. Various ECC mechanisms have been integrated within VLSI chips with large internal memories and cache units [3]. Among the three types of redundancy, the hardware redundancy is the most attractive one for the semiconductor industry because of its simplicity and effectiveness. This is specifically important in nanoscale VLSI where high defect rates are expected. Many researchers are now working on NMR-based strategies adding hardware redundancy to design reliable components out of unreliable nano-devices [] [5].In almost all these works, the overall system reliability has been evaluated or improved without considering the effect of voter unit..3 Main Contribution The main contribution of this paper is twofold. First, we show how current-based drivers/buffers mechanism can be employed for distributed bit voting. The centralized /07/$ IEEE 568

2 (voltage-based, i.e. digital) bit voter can thus be removed leading to a highly reliable and scalable NMR system. Our distributed mechanism achieves lower latency and lower implementation cost compared to conventional voters. Second, we present an accurate reliability analysis of NMR systems that takes voter into account. Such analysis is quite important in fault-tolerant nanoscale systems in which the defect rates are high and the size of the voter is comparable to modular blocks. 2 Centralized vs. Distributed Voting Figure shows the configuration of a conventional NMR system. When Core A sends data to Core B, N replicas of Core A send their data to the voter block. Then, for each output bit the voter decides what the correct data is based on the majority of its inputs. It is clear that the voter plays a critical role here, i.e. if it fails due to any kind of defects, the whole system would fail. By contrast, our proposed distributed voting is sketched in Figure 2 where the centralized voter block in an NMR system is completely eliminated. Instead, simple drivers/buffers are added to the inputs/outputs of each communicating modules. To do this, first, we need to collect the output data of N modular blocks without using a centralized voter unit. Second, we have to deliver the majority of this collected data to its designated destinations. As the collection mechanism, we use current-based drivers (one per bit) to convert the voltage-based outputs of cores into currentbased signals. By using current instead of voltage, we can easily combine all the outputs by just hard-wiring them together. Naturally, the final current value will be the sum of individual currents. In the receiving side, we use a buffer (as a current-tovoltage converter) to re-generate the voltage-based signal for the next stage. The voltage value (high or low) will be based on the majority of the inputs. The buffer can use a resistor to sense the current value and then, use a simple amplifier/inverter combination to convert the resistor s voltage to the logic values. In essence, the conversion-back to voltage implicitly performs the majority decision. Since every core uses such adapter, we call it distributed voting. Note carefully that in the distributed system if less than half of drivers and/or buffers fail due to fabrication defects, the whole system would still work correctly. This implies that the notion of redundancy (NMR) has been expanded to include the voter unit as well. To the best of our knowledge, no other existing NMR has this capability. The interconnect reliability is beyond the scope of this paper. However, we would like to comment that highlyreliable interconnects, such as grid [6] (i.e. a mesh structure providing multi-path delivery) benefit from our distributed voting mechanism. Core A () Core A (2) Core A (N) Voter Core B () Core B (2) Core B (N) Figure. Conventional voter-based NMR Core A () Core B () Core A (2) Core A (N) Current-Based Driver Buffer Core B (2) Core B (N) Figure 2. Distributed voting mechanism. 2. Current-Based Driver Figure 3(a) shows the current-based driver schematic which is composed of a bootstrap current source (T, T2 and T3) and an output NMOS switch (T5); a total of seven transistors. When the switch is on (input = ), the current is injected out through T5. When it is turned off, the current will flow to ground through the opposite switch T. The alternative path is needed to avoid dead-starting a current source [7]. Now, suppose the current source produces I. In an NMR system, the maximum output current is N I which should be within allowed limits of the buffer input at the receiver site. This criteria should be carefully used to design and tune the current source, which is explained in the next subsection. Assuming k cores (k N) function correctly, the output produce k I and (N k) I when fault free value is and 0, respectively. The buffer unit is responsible to convert back the current value to or 0 again. As long as k N/2, i.e. the majority of the cores function correctly, the final system s output will be correct. 2.2 Buffer Figure 3(b) shows the buffer schematic which is composed of a common-source amplifier followed by an inverter; a total of three transistors. Resistor R 2 converts the sum of output currents into voltage. The resulting voltage should not exceed the small-signal threshold of the amplifier for linear operation. Therefore, NIR 2 should stay in the maximum input boundary of the common-source amplifier allowed. Assuming A v as the amplifier gain, which can be tuned by R,wehave: V ampmax = A v N I R 2. () So, the voltage swing is increased from NIR 2 to V ampmax. To reach the full swing (V DD ) and also remove the inversion effect of the common source amplifier, a low-skewed inverter (with a threshold set at V thr = V ampmax 2 ) converts this 569

3 Input Current Source VDD VDD T T2 (a) T3 T R T5 Output Iin Vin Vamp R2 VDD (b) T R Vout Figure 3. Distributed voting: (a) currentbased driver, (b) buffer (amplifier/inverter). voltage to logic values. The inverter needs to be low-skewed since V thr < V DD 2. Without loss of generality, let s assume N is odd which is often the case in practice. The threshold value of this inverter is doing the majority voting, i.e.: { Iin < N 2 I V in < N 2 I R 2 V amp > V thr (V out = 0) I in N 2 I V in N 2 I R 2 V amp V thr (V out = V DD ) (2) Note that variabilities may cause the current of driver to change form its nominal value I. If, for example, all currentbased drivers inject a value less than I, then for large N the N 2 threshold may need to be slightly adjusted to create a safe decision margin. More specifically, when large current variation is expected, thresholds of N 2 + or N can be used (instead of N 2 ) to compensate such variations. 3 Reliability Analysis of NMR System In this section, we first analyze the NMR system reliability without considering the voter. Then, we take into account the voter s effect and show why the voter is a reliability bottleneck in fault-tolerant systems and especially in nanoscale VLSI. Finally, we show how distributed voting removes the voting bottleneck problem and improves the reliability of NMR systems. As mentioned earlier, the interconnect reliability is beyond the scope of this paper and will not be considered in this analysis. In our analysis, we define the reliability of a component (gate, module, core, system, etc.) to be the probability that the component works correctly: R comp = Prob(works)= Prob( fails)= P comp. (3) 3. Ignoring the Voter In a low defect rate technology, the module sizes of an NMR system could be very large and still have low probability of failure. Therefore, the voter size (and its probability of its failure) could be ignored compared to modules. This is the main reason why in previous works on NMR system analysis, such as [7], the reliability of the voter block is not considered at all. System Reliability (Rnmr) Minimum Voter Reliability (Rvmin) Non-Redundant TMR 0.2 5MR 7MR Module Reliability (Rm) Figure. NMR reliability (R NMR ) vs. reliability (R m ) module (0.75,9) 8 6 TMR 5MR 2 7MR Module Reliability (Rm) Figure 5. Minimum voter reliability (R vmin ) vs. module reliability (R m ) Ignoring the voter, the reliability of the NMR system is defined as the probability of having the majority of the modules (i.e. N/2 ) to provide the correct output. This probability obeys the following Binomial distribution: R NMR = N i= N/2 ( N i ) R i m ( R m ) N i, () where R m is the reliability of a single module. The above equation comes from the fact that the NMR system works correctly when at least N/2 (the majority of) modules provide correct results. Note that the redundant system is useful only when the system reliability is more than the non-redundant case, i.e. R NMR > R m. Figure shows the relation between R NMR and R m. Clearly, only for R m > the redundant systems is more reliable compared to the nonredundant one. 3.2 Centralized Bit Voter High defect rates are expected for future nanoscale chips [] [5]. This implies that large individual modules would 570

4 System Reliability (Rnmr) Module Reliability (Rm) Voter Reliability (Rv) Figure 6. NMR reliability (R NMRC ) vs. voter (R v ) and module (R m ) reliability most likely fail. Consequently, each module in an NMR system cannot be too large. Hence, the voter cannot be ignored when high defect rate are expected. If we add the reliability of the voter, say R v, to Equation (), then: [ N ( ) ] N R NMRC = R v R im ( R m ) N i. (5) i i= N/2 To get benefit from the redundant system, we need R NMRV > R m that results in: R vmin = N ( N ). (6) i= N/2 i R i m ( R m ) N i Figure 5 shows R vmin for various N and R m values. It is clear that the voter s reliability plays an important role in the overall system reliability. The parabola-like curves indicate that to get R NMRC > R m, we need large R vmin (close to ) for both large and small R m values. For example, for TMR (the top curve), even at the valley-point R vmin = 9; which means a highly reliable voter is required to get benefit out of the NMR system. Figure 6 gives us a better picture of the relation between the system reliability vs. module and voter reliability for a 5MR system. The green flat plane and the blue surface show the reliability of the non-redundant system and NMR system, respectively. The intersection of these two is R vmin, already shown in Figure Effect of the Voter Size Like any other module, the more gates needed to build the voter, the lower is its reliability. Let s assume the logic gate reliability (probability that it works correctly) is R g for a specific technology. The voter reliability, therefore, is: R v = R N v g, (7) where N v is the voter size in terms of number of gates. A study on different voter implementations and costs was conducted in [8]. The author classified various implementations into different categories, in which the two extremes are: (i) selection-based and (ii) gate-based. As the redundancy factor N grows, the cost of voter increases linearly and exponentially for (i) and (ii), respectively. Regardless of the implementation type, large N implies large N v and small R v (see equation (7)). At some point, R v will go below required R vmin and the redundant system won t serve the purpose anymore. Effectively, R vmin limits the maximum redundancy allowed. This is a huge drawback of the conventional voter-based NMR systems because in nanometer VLSI, defect rates are expected to be high and therefore, high redundancy factor is required for a reliable system. 3. Distributed Bit Voter For the distributed system, every module has a current driver in its output. So: R NMRD = N i= N/2 ( ) N (R i m) i ( R m) N i, (8) where R m is the reliability of the overall module and current driver together. Assuming each module has N m gates and R g is the gate reliability, we have: R m = R N m g. (9) In a distributed voting system, we have a 7-transistor driver and a 3-transistor buffer per output and input bit, respectively (see Figure 3). Therefore: R m = R N m+0 g. (0) Figure 7 compares the reliability of NMR system for both centralized and distributed voting strategies. Note that in the figure we used the gate failure probability P g (i.e. P g = R g ). In this figure, N v = 25 for 7MR centralized system, N v = 0 for 9MR and N m = 00. Both 7MR and 9MR distributed systems are more reliable than centralized voting mechanism. For example, at failure rate equal to 02 the reliability of centralized 9MR system is while for the distributed system this value is 832 which is a significant improvement. Simulation Results. System Simulation We have performed simulations on a simplified version of the centralized and distributed TMR shown in Figure 8. The cores are -bit adders operating on the same inputs. Suppose we have total of N NMR components in our TMR system that might be defective (N NMRC = 67 and N NMRD = = 05). The simulation procedure estimates the reliability of the TMR system (R) as follows:. For a given component reliability R g, randomly inject ( R g ) N NMR defects to the circuit. We assume a defective gate will invert its output. 57

5 Reliability of NMR System Centralized 7MR Distributed 7MR Centralized 9MR Distributed 9MR Gate Failure Probability Pg=-Rg Figure 7. NMR system reliability vs. gate failure probability (P g = R g ) for centralized (conventional) and distributed voting 2. Apply all possible input patterns (N pat = 2 8 = 256 in our case) and check the TMR outputs. If the answer is wrong then increase the failure count N f ail. 3. Compute the reliability of the circuit in that round: R = (N f ail /N pat ).. Repeat the above steps in a Monte-Carlo process until the average reliability over large number of iterations converges, i.e. to R TMR. Figure 9 shows the simulation results for TMR and 5MR cases. The four curves converge at very high R g > 99 (i.e. failure rate under 0) and very low R g <. This is because at a very high gate reliability (low defect rates) any redundant system could perform well. So, the difference between various defect-tolerant strategies will not be visible. Also, for a very low gate reliability (high defect rates) any system would fail with a high probability. So, again the differences are small (though the distributed voter still performs better in both cases). In < R g < 9 range, which is a more practical case for nanometer VLSI, the distributed systems is much more reliable than the centralized one. For example, at R g = 5 the reliability of centralized TMR system is 0. while the reliability of distributed TMR and 5MR systems are 0.69 and 0.73, respectively. This implies that the distributed 5MR will be at least 32% more reliable than the centralized TMR. Conventional fault-tolerant approaches were found effective only for systems and technologies in which the failure rate is very small, i.e. P g < 0 (R g > 99) [9]. In future nanoscale VLSI where we expect presence of massive number of gates with reliability in < R g < 9 range. In this range, our distributed voting strategy provides much higher reliability as pictured in Figure 9. When the extra cost is acceptable, using large redundancy factor Reliability of NMR System -bit -bit Voter 8 Receiver -bit (a) -bit Current Driver Current Driver 8 -bit Buffer Receiver (b) -bit Current Driver Figure 8. TMR systems with a: (a) centralized voter, (b) distributed voter Centralized TMR Distributed TMR Centralized 5MR Distributed 5MR Gate/Segment Reliability (Rg) Figure 9. Simulation results (reliability) of TMR and 5MR systems. N (e.g. 9, or even larger) can further push the overall reliability to almost any desired level. According to Equation 8, for a given R m, by choosing N, we can reach any desired level of R NMRD. As discussed in Subsection 3.3, this is not possible when a centralized voter is used due to its bounded reliability (see also Equation 5)..2 SPICE Simulation We designed and implemented, using Synopsys toolset [8], a small distributed voting system for experimentation. In our simulation, we used five current-based drivers and buffers using 5nm library [9]. In all experiments tried so far, we have observed a strong match between the results of HSPICE (practical implementation) and theoretical analysis. Figure 0 is a part of the simulation result, in which the five current drivers are turned on one-by-one with 2ns delay to trace the effect of majority voting. When all are turned off, or only one or two are turned on, the output is low (0) since still the majority of them are off. When the third current driver is added, the majority goes above the threshold and the output goes high. Finally, when the fourth or fifth current driver are added, the output is fully V DD. 572

6 Graph n 2n 3n n 5n 6n 7n 8n 9n 0n n t(s) Figure 0. Simulation results of a 5MR with distributed voter. Top five curves show current driver outputs through 5. The last two curves show the buffer s input and output signals, respectively..3 Effect on Cost and Delay In [8], the author concluded that the best voter is the one whose implementation cost increases linearly with the redundancy factor N. This is exactly the case in our distributed voting mechanism where 0 transistors (see Figure 3) will be added per bit per module. The distributed voting system has also an edge for its speed. In all realizations of the centralized voter, the latency increases linearly with N, whereas, in the distributed voting the voting latency is fixed (one current driver and buffer delay) and independent of N. This is another important improvement, specially when N is large. Accurate reading of the last curve in Figure 0 shows that the voting latency is less than 00ps which is much better than ns delay of the centralized voter in 5MR. More importantly, as N becomes larger the latency in distributed voter remains unchanged (around 00ps) while it linearly grows to several nanoseconds in the centralized voting. References [] M. Breuer, S. Gupta and T. Mak, Defect and Error Tolerance in the Presence of Massive Numbers of Defects, IEEE Design & Test of Computers, vol. 2, no. 3, pp , May-June 200. [2] J. Appenzeller, J. Knoch, R. Martel, V. Derycke, S. Wind and P. Avouris, Carbon Nanotube Electronics, IEEE Transactions on Nanotechnology, vol., no., pp. 8-89, Dec [3] C. Lent and P. Tougaw, A Device Architecture for Computing with Quantum Dots, Proceedings of the IEEE, vol. 85, no., pp , April 997. [] K. Likharev, Single-Electron Devices and Their Applications, Proceedings of the IEEE, vol. 87, pp , 999. [5] T. Raja, V. Agrawal and M. Bushnell, A Tutorial on the Emerging Nanotechnology Devices, Proceedings of the 7th International Conference on VLSI Design, pp , 200. [6] Semiconductor Industry Association, The International Technology Roadmap for Semiconductors: 2005 Update, [7] S. Mitra and E. McCluskey, Word-Voter: A New Voter Design for Triple Modular Redundant Systems, Proceedings of the IEEE VLSI Test Symposium, pp , May [8] B. Parhami, Voting Networks, IEEE Transactions on Reliability, vol. 0, no. 3, pp , Aug. 99 [9] J. von Neumann, Probabilistic Logics and the Synthesis of Reliable Organisms from Unreliable Components, in Automata Studies, C.E. Shannon and J. McCarthy (eds.) Princeton, NJ: Princeton Univ. Press, pp. 3-98, 956. [0] P. Yang and J. Chern, Design for Reliability: The Major Challenge for VLSI, Proceedings of IEEE, vol. 8, no. 5, pp , May 993. [] J. Sklaroff, Redundancy Management Technique for Space Shuttle Computers, IBM J. Res. Dev. 20():20-28, Jan [2] W. Peterson and E. Weldon, Error-Correcting Codes, Second Edition, MIT Press, Cambridge, Mass., 972. [3] A. Agarwal, B. Paul, S. Mukhopadhyay and K. Roy, Process Variation in Embedded Memories: Failure Analysis and Variation Aware Architecture, IEEE Journal of Solid-State Circuits, vol. 0, no. 9, pp. 80-8, Sept [] S. Roy, V. Beiu, Majority Multiplexing-Economical Redundant Fault-Tolerant Designs for Nanoarchitectures, IEEE Transactions on Nanotechnology, Volume, Issue, pp. -5, July [5] J. Han, J. Gao, P. Jonker, Y. Qi, J. Fortes, Toward Hardware-Redundant, Fault-Tolerant Logic for Nanoelectronics, IEEE Design & Test of Computers, Volume 22, Issue, pp , July-Aug [6] A. Namazi, M. Nourani and M. Saquib, A Robust Interconnect Mechanism for Nanometer VLSI, International Test Synthesis Workshop (ITSW), (San Antonio, TX), March [7] P. Gray, P. Hurst, S. Lewis, and R. Meyer, Analysis and Design of Analog Integrated Circuit, John Wiley & Sons, Inc., th edition, [8] Synopsys Inc., User Manuals for Synopsys Tools v , Synopsys, Inc., [9] Texas Instruments, Inc., 5nm Spice Models, Texas Instruments, Inc.,

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS

DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS DESIGN OF A SIMPLE RELIABLE VOTER FOR MODULAR REDUNDANCY IMPLEMENTATIONS Moslem Amiri, Václav Přenosil Faculty of Informatics, Masaryk University Brno, Czech Republic, amiri@mail.muni.cz, prenosil@fi.muni.cz

More information

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS www.arpapress.com/volumes/vol11issue3/ijrras_11_3_03.pdf MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS Roberto Marani & Anna Gina Perri Electrical

More information

TIME EFFICIENT PARITY GENERATOR BASED ON QUANTUM-DOT CELLULAR AUTOMATA

TIME EFFICIENT PARITY GENERATOR BASED ON QUANTUM-DOT CELLULAR AUTOMATA International Journal of Civil Engineering and Technology (IJCIET) Volume 10, Issue 02, February 2019, pp. 715-723, Article ID: IJCIET_10_02_069 Available online at http://www.iaeme.com/ijciet/issues.asp?jtype=ijciet&vtype=10&itype=02

More information

A Theoretical Approach to Fault Analysis and Mitigation in Nanoscale Fabrics

A Theoretical Approach to Fault Analysis and Mitigation in Nanoscale Fabrics University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2012 A Theoretical Approach to Fault Analysis and Mitigation in Nanoscale Fabrics Md Muwyid Uzzaman Khan

More information

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): 2321-0613 Implementation of Ternary Logic Gates using CNTFET Rahul A. Kashyap 1 1 Department of

More information

Analysis of Reliability for Fault Tolerant Design in NANO CMOS Logic Circuit

Analysis of Reliability for Fault Tolerant Design in NANO CMOS Logic Circuit (JETNSR) VOL: 2, NO 1, 2018 SIATS Journals Journal of Experimental &Theoretical Nanotechnology Specialized Researches (JETNSR) Journal home page: http://www.siats.co.uk Analysis of Reliability for Fault

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Evolving and Analysing Useful Redundant Logic

Evolving and Analysing Useful Redundant Logic Evolving and Analysing Useful Redundant Logic Asbjoern Djupdal and Pauline C. Haddow CRAB Lab Department of Computer and Information Science Norwegian University of Science and Technology {djupdal,pauline}@idi.ntnu.no

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

Novel Design of n-bit Controllable Inverter by Quantum-dot Cellular Automata

Novel Design of n-bit Controllable Inverter by Quantum-dot Cellular Automata Int. J. Nanosci. Nanotechnol., Vol. 10, No. 2, June 2014, pp. 117-126 Novel Design of n-bit Controllable Inverter by Quantum-dot Cellular Automata M. Kianpour 1, R. Sabbaghi-Nadooshan 2 1- Electrical Engineering

More information

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer www.ijcsi.org 55 A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer Arman Roohi 1, Hossein Khademolhosseini 2, Samira Sayedsalehi 3, Keivan Navi 4 1,2,3 Department of Computer Engineering,

More information

Fault Tolerance in VLSI Systems

Fault Tolerance in VLSI Systems Fault Tolerance in VLSI Systems Overview Opportunities presented by VLSI Problems presented by VLSI Redundancy techniques in VLSI design environment Duplication with complementary logic Self-checking logic

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures

Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures Dr. E.N.Ganesh, 2 R.Kaushik Ragavan, M.Krishna Kumar and V.Krishnan Abstract Quantum cellular automata (QCA) is a new technology

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Neetu Sardana, 2 L.K. Ragha M.E Student, 2 Guide Electronics Department, Terna Engineering College, Navi Mumbai, India Abstract Conventional

More information

A Structured Ultra-Dense QCA One-Bit Full-Adder Cell

A Structured Ultra-Dense QCA One-Bit Full-Adder Cell RESEARCH ARTICLE Copyright 2015 American Scientific Publishers All rights reserved Printed in the United States of America Quantum Matter Vol. 4, 1 6, 2015 A Structured Ultra-Dense QCA One-Bit Full-Adder

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide About This Presentation This presentation has been prepared for the graduate course ECE 57A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China Challenges and opportunities for Designs in Nanotechnologies International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China Sankar Basu Program Director Computing

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 Electrical Characterisation of CMOS Ring Oscillator This pamphlet describes a laboratory activity based on an integrated circuit originally designed and tested

More information

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors Amitesh Narayan, Snehal Mhatre, Yaman Sangar Department of Electrical and Computer Engineering, University of Wisconsin-Madison

More information

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Annals of the Academy of Romanian Scientists Series on Science and Technology of Information ISSN 2066-8562 Volume 3, Number 2/2010 7 LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Vlad ANGHEL

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Linear voltage to current conversion using submicron CMOS devices

Linear voltage to current conversion using submicron CMOS devices Brigham Young University BYU ScholarsArchive All Faculty Publications 2004-05-04 Linear voltage to current conversion using submicron CMOS devices David J. Comer comer.ee@byu.edu Donald Comer See next

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

A Novel Quaternary Full Adder Cell Based on Nanotechnology

A Novel Quaternary Full Adder Cell Based on Nanotechnology I.J. Modern Education and Computer Science, 2015, 3, 19-25 Published Online March 2015 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijmecs.2015.03.03 A Novel Quaternary Full Adder Cell Based on Nanotechnology

More information

Five-Input Majority Gate Based QCA Decoder

Five-Input Majority Gate Based QCA Decoder , pp.95-99 http://dx.doi.org/10.14257/astl.2016.122.18 Five-Input Majority Gate Based QCA Decoder Jun-Cheol Jeon Department of Computer Engineering at Kumoh National Institute of Technology, Gumi, Korea

More information

QCA Based Design of Serial Adder

QCA Based Design of Serial Adder QCA Based Design of Serial Adder Tina Suratkar Department of Electronics & Telecommunication, Yeshwantrao Chavan College of Engineering, Nagpur, India E-mail : tina_suratkar@rediffmail.com Abstract - This

More information

Implementation of 4x4 Vedic Multiplier using Carry Save Adder in Quantum-Dot Cellular Automata

Implementation of 4x4 Vedic Multiplier using Carry Save Adder in Quantum-Dot Cellular Automata International Conference on Communication and Signal Processing, April 6-8, 2016, India Implementation of 4x4 Vedic Multiplier using Carry Save Adder in Quantum-Dot Cellular Automata Ashvin Chudasama,

More information

A SUBSTRATE BIASED FULL ADDER CIRCUIT

A SUBSTRATE BIASED FULL ADDER CIRCUIT International Journal on Intelligent Electronic System, Vol. 8 No.. July 4 9 A SUBSTRATE BIASED FULL ADDER CIRCUIT Abstract Saravanakumar C., Senthilmurugan S.,, Department of ECE, Valliammai Engineering

More information

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp ,

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp , International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: 974-429 Vol.7, No.2, pp 85-857, 24-25 ICONN 25 [4 th -6 th Feb 25] International Conference on Nanoscience and Nanotechnology-25 SRM

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

A two-stage shift register for clocked Quantum-dot Cellular Automata

A two-stage shift register for clocked Quantum-dot Cellular Automata A two-stage shift register for clocked Quantum-dot Cellular Automata Alexei O. Orlov, Ravi Kummamuru, R. Ramasubramaniam, Craig S. Lent, Gary H. Bernstein, and Gregory L. Snider. Dept. of Electrical Engineering,

More information

Computer-Based Project on VLSI Design Co 3/8

Computer-Based Project on VLSI Design Co 3/8 Computer-Based Project on VLSI Design Co 3/8 This pamphlet describes a laboratory activity based on a former third year EIST experiment. Its purpose is the measurement of the switching speed of some CMOS

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

Implementation of Code Converters in QCAD Pallavi A 1 N. Moorthy Muthukrishnan 2

Implementation of Code Converters in QCAD Pallavi A 1 N. Moorthy Muthukrishnan 2 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 6, 214 ISSN (online): 2321-613 Implementation of Code Converters in QCAD Pallavi A 1 N. Moorthy Muthukrishnan 2 1 Student

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

Functional Integration of Parallel Counters Based on Quantum-Effect Devices

Functional Integration of Parallel Counters Based on Quantum-Effect Devices Proceedings of the th IMACS World Congress (ol. ), Berlin, August 997, Special Session on Computer Arithmetic, pp. 7-78 Functional Integration of Parallel Counters Based on Quantum-Effect Devices Christian

More information

Nano-Arch online. Quantum-dot Cellular Automata (QCA)

Nano-Arch online. Quantum-dot Cellular Automata (QCA) Nano-Arch online Quantum-dot Cellular Automata (QCA) 1 Introduction In this chapter you will learn about a promising future nanotechnology for computing. It takes great advantage of a physical effect:

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs.

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Kazi Muhammad Jameel Student, Electrical and Electronic Engineering, AIUB, Dhaka, Bangladesh ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Oct. 007 Fault Masking Slide bout This Presentation This presentation has been prepared for the graduate course ECE 57 (Fault-Tolerant Computing)

More information

Assembling Nanoscale Circuits with Randomized Connections

Assembling Nanoscale Circuits with Randomized Connections Assembling Nanoscale Circuits with Randomized Connections Tad Hogg, Yong Chen and Philip J. Kuekes September 8, 2005 Abstract Molecular electronics is difficult to fabricate with precise positioning of

More information

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio Copyright (2012) American Institute of Physics. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the American Institute of Physics. The following

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

d. Can you find intrinsic gain more easily by examining the equation for current? Explain. EECS140 Final Spring 2017 Name SID 1. [8] In a vacuum tube, the plate (or anode) current is a function of the plate voltage (output) and the grid voltage (input). I P = k(v P + µv G ) 3/2 where µ is a

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture Journal of Electrical and Electronic Engineering 2017; 5(6): 242-249 http://www.sciencepublishinggroup.com/j/jeee doi: 10.11648/j.jeee.20170506.15 ISSN: 2329-1613 (Print); ISSN: 2329-1605 (Online) CMOL

More information

A New Configurable Full Adder For Low Power Applications

A New Configurable Full Adder For Low Power Applications A New Configurable Full Adder For Low Power Applications Astha Sharma 1, Zoonubiya Ali 2 PG Student, Department of Electronics & Telecommunication Engineering, Disha Institute of Management & Technology

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

CNTFET Based Energy Efficient Full Adder

CNTFET Based Energy Efficient Full Adder CNTFET Based Energy Efficient Full Adder Shaifali Ruhil 1, Komal Rohilla 2 Jyoti Sehgal 3 P.G. Student, Department of Electronics Engineering, Vaish College of Engineering, Rohtak, Haryana, India 1,2 Assistant

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

Trends in the Research on Single Electron Electronics

Trends in the Research on Single Electron Electronics 5 Trends in the Research on Single Electron Electronics Is it possible to break through the limits of semiconductor integrated circuits? NOBUYUKI KOGUCHI (Affiliated Fellow) AND JUN-ICHIRO TAKANO Materials

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

A Novel 128-Bit QCA Adder

A Novel 128-Bit QCA Adder International Journal of Emerging Engineering Research and Technology Volume 2, Issue 5, August 2014, PP 81-88 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) A Novel 128-Bit QCA Adder V Ravichandran

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Design and Analysis of Decoder Circuit Using Quantum Dot Cellular Automata (QCA)

Design and Analysis of Decoder Circuit Using Quantum Dot Cellular Automata (QCA) Design and Analysis of Decoder Circuit Using Quantum Dot Cellular Automata (QCA) M. Prabakaran 1, N.Indhumathi 2, R.Vennila 3 and T.Kowsalya 4 PG Scholars, Department of E.C.E, Muthayammal Engineering

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Robust Adders Based on Quantum-Dot Cellular Automata

Robust Adders Based on Quantum-Dot Cellular Automata Robust Adders Based on Quantum-Dot Cellular Automata Ismo Hänninen and Jarmo Takala Institute of Digital and Computer Systems Tampere University of Technology PL 553, 33101 Tampere, Finland [ismo.hanninen,

More information

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO Technical Paper A Sub-Nanosecond 0.5µm 64b Adder Design Hewlett-Packard Co., Fort Collins, CO A sub-nanosecond 64b adder in 0.5µm CMOS forms the basis for the integer and floating point execution units.

More information

Evaluation of the Parameters of Ring Oscillators

Evaluation of the Parameters of Ring Oscillators Evaluation of the Parameters of Ring Oscillators Using the CMOS and CNT 32nm Technology Suraj Singh Bhadouria 1, Nikhil Saxena 2 1 PG Scolar, 2 Assistant professor Department of Electronics & Communication

More information

RESIDUE AMPLIFIER PIPELINE ADC

RESIDUE AMPLIFIER PIPELINE ADC RESIDUE AMPLIFIER PIPELINE ADC A direct-conversion ADC designed only with Op-Amps Abstract This project explores the design of a type of direct-conversion ADC called a Residue Amplifier Pipeline ADC. Direct-conversion

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS

A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS 1 A COMPARATIVE ANALYSIS OF LEAKAGE REDUCTION TECHNIQUES IN NANOSCALE CMOS ARITHMETIC CIRCUITS Frank Anthony Hurtado and Eugene John Department of Electrical and Computer Engineering The University of

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information