Impact of Advanced Electronics for DoD Today

Size: px
Start display at page:

Download "Impact of Advanced Electronics for DoD Today"

Transcription

1 Impact of Advanced Electronics for DoD Today Dr. Jay Lewis, Deputy Director DARPA Microsystems Technology Office (MTO) Electronics Resurgence Initiative Workshop Day 2 July 19, 2017 Reference herein to any specific commercial product, process, or service by trade name, trademark or other trade name, manufacturer or otherwise, does not necessarily constitute or imply endorsement by DARPA, the Defense Department or the U.S. government, and shall not be used for advertising or product endorsement purposes.

2 Leading-edge ASICs under development in MTO programs could deliver revolutionary capabilities to the warfighter Example ASIC* ACT** CLASIC CLASS DAHI ReImagine RF-FPGA SHIELD SPADE UPSIDE Capability Capture unprecedented volumes of RF data at 64Gs/sec for next-gen arrays Distinguish and classify RF signals for 180 hours on a cellphone battery Disguise and dynamically vary signals for inexpensive LPI/LPD comms 10x higher dynamic range arbitrary waveform generator for RF applications Collect different data in a single camera frame with a reconfigurable ROIC A software-defined front end that works for 20GHz or below Verify the authenticity of components at every point in the supply chain Build trusted circuits through split integration Enable real-time machine learning for object recognition on UAVs **Program acronyms are later slide *ASICs from MTO programs ASIC application specific integrated circuit RF radio frequency ROIC readout IC LPI/LPD low probability of intercept/detection DISTRIBUTION A. Approved for public release: distribution unlimited.

3 Leading-edge ASICs under development in MTO programs could deliver revolutionary capabilities to the warfighter Example ASIC* ACT** CLASIC CLASS DAHI ReImagine RF-FPGA SHIELD SPADE UPSIDE Capability Capture unprecedented volumes of RF data at 64Gs/sec for next-gen arrays Distinguish and classify RF signals for 180 hours on a cellphone battery Disguise and dynamically vary signals for inexpensive LPI/LPD comms 10x higher dynamic range arbitrary waveform generator for RF applications Collect different data in a single camera frame with a reconfigurable ROIC A software-defined front end that works for 20GHz or below Verify the authenticity of components at every point in the supply chain Build trusted circuits through split integration Enable real-time machine learning for object recognition on UAVs **Program acronyms on later slide *ASICs from MTO programs ASIC application specific integrated circuit RF radio frequency ROIC readout IC LPI/LPD low probability of intercept/detection DISTRIBUTION A. Approved for public release: distribution unlimited.

4 Leading-edge ASICs under development in MTO programs could deliver revolutionary capabilities to the warfighter Example ASIC* ACT CLASIC CLASS DAHI Capability ACT Capture unprecedented volumes of RF data at 64Gs/sec for next-gen arrays Enable digital re-use for phased arrays Distinguish and classify RF signals for 180 hours on a cellphone battery Capture unprecedented Disguise and dynamically vary signals for volumes inexpensive of RF LPI/LPD data at comms 64Gs/sec for next-gen 10x higher dynamic range arbitrary waveform arraysgenerator for RF applications ReImagine RF-FPGA SHIELD SPADE UPSIDE Collect different data in a single camera frame Leverage with the a reconfigurable world s ROIC best digital beamforming system A software-defined front end that works for 20GHz or below 32nm SOI vs. 14nm FinFet Verify the authenticity of components at every point in the supply chain Build trusted circuits through split integration Area: 44.2mm 2 6.8mm 32nm Enable real-time machine learning for object recognition on UAVs 6.5mm 5mm 14nm 4mm 50% Less Area: 20mm 2 40% Less Power ASIC application specific integrated circuit *ASICs from MTO programs RF radio frequency DISTRIBUTION A. Approved for public release: distribution unlimited. ROIC readout IC LPI/LPD low probability of intercept/detection

5 Leading-edge ASICs under development in MTO programs could deliver revolutionary capabilities to the warfighter Example ASIC* ACT CLASIC CLASS DAHI ReImagine RF-FPGA SHIELD SPADE UPSIDE Capability Capture Microscopic unprecedented volumes of RF data at 64Gs/sec for next-gen arrays SHIELD dielet Ensure the authenticity of genuine military Distinguish and classify RF signals for 180 hours on a cellphone battery electronic components Disguise and dynamically vary signals for Tag inexpensive electronics LPI/LPD at low comms cost with an encrypted 10x higher dynamic range arbitrary waveform 100µm generator x 100µm for ASIC RF applications Collect different data in a single camera frame Personalization with a reconfigurable of ROIC millions of die at waferscale A software-defined front end that works for 20GHz or below 14nm FinFet Verify the authenticity of components at every point in the supply chain Build trusted circuits through split integration 100 µm Full AES encryption ~30 µm x 30 µm Enable real-time machine learning for object recognition on UAVs 100 µm ASIC application specific integrated circuit *ASICs from MTO programs RF radio frequency SHIELD ROIC readout IC LPI/LPD low probability of intercept/detection DISTRIBUTION A. Approved for public release: distribution unlimited.

6 Leading-edge ASICs under development in MTO programs could deliver revolutionary capabilities to the warfighter Example ASIC* ACT CLASIC CLASS DAHI ReImagine RF-FPGA SHIELD SPADE UPSIDE Capability Capture unprecedented volumes of RF data at 64Gs/sec for next-gen arrays Achieve full battlespace awareness with a single Distinguish and classify RF signals for 180 hours on a cellphone battery reconfigurable ROIC Disguise and dynamically vary signals for Simultaneously inexpensive LPI/LPD collect comms diverse data types from 10x higher dynamic range arbitrary waveform multiple generator regions for of RF applications interest Collect different data in a single camera frame with a reconfigurable ROIC ADC with signal processing in every pixel A software-defined front end that works for 20GHz or below 14nm CMOS Verify the authenticity of components at every point in the supply chain SOA digital ROIC pixel layout using 65 nm CMOS Build trusted circuits through split integration Enable real-time machine learning for object recognition on UAVs 25 µm ~6 µm ~10 µm ReImagine 14 nm CMOS pixel with computation ASIC application specific integrated circuit *ASICs from MTO programs Images courtesy: MIT Lincoln Laboratory ROIC readout IC RF radio frequency LPI/LPD low probability of intercept/detection DISTRIBUTION A. Approved for public release: distribution unlimited.

7 Program Names ACT CLASIC CLASS DAHI ReImagine RF-FPGA SHIELD UPSIDE Arrays at Commercial Timescales Cognitive radio Low-energy signal Analysis Sensor Integrated Circuits Computational Leverage Against Surveillance Systems Dense Accessible Heterogeneous Integration Reconfigurable Imaging Radio Frequency Field Programmable Gate Array Supply Chain Hardware Integrity for Electronics Defense Unconventional Processing of Signals for Intelligent Data Exploitation DISTRIBUTION A. Approved for public release: distribution unlimited.

8

9 Ongoing FY18 Programs in Advanced Computing and Design Dr. William Chappell, Director DARPA Microsystems Technology Office (MTO) Electronics Resurgence Initiative Workshop Day 2 July 19, 2017 Reference herein to any specific commercial product, process, or service by trade name, trademark or other trade name, manufacturer or otherwise, does not necessarily constitute or imply endorsement by DARPA, the Defense Department or the U.S. government, and shall not be used for advertising or product endorsement purposes.

10 NATIONAL ELECTRONICS CAPABILITY $216 MILLION TOTAL (FY18) materials architectures designs $75 million Of New Funding (FY18) JUMP + Traditional Programs $141 million in Current Efforts (FY18)

11 Traditional Programs Currently Funded materials architectures designs JUMP Joint University Microelectronics Program CHIPS Common Heterogeneous Integration and IP Reuse Strategies HIVE Hierarchical Identify Verify Exploit JUMP + Traditional Programs L2M Lifelong Learning Machines N-ZERO - Near-Zero Power Radio Frequency Receivers CRAFT Circuit Realization at Faster Time Scales SSITH System Security Integrated Through Hardware and firmware

12 MTO Electronics Timeline /2015 N-ZERO Kickoff 4/2016 CRAFT Kickoff 6/2016 CHIPS Approved 8/22/2016 JUMP Approved 1/2017 L2M Approved 4/2017 HIVE Kickoff Today 4/2017 SSITH BAA Released Ultra Low Power Design Reduced Design Time Pseudolithic Design Broad University Support In Field Machine Learning Graph Processing Built in Security

13 Joint University Microelectronics Program (JUMP) Industry 40% 60% Predecessor Program STARnet 646 Graduate students 184 Faculty researchers 46 Universities Joint University Microelectronics Program (JUMP) 6,118 Research publications RF to THz Distributed Computing Cognitive Computing Intelligent Memory/Storage Advanced IC Architectures Devices/ Materials

14 Circuit Realization at Faster Time Scales (CRAFT) Chip Design and Fabrication Time (weeks) DoD (Today) CRAFT (Future) Driving a design methodology that can be used to quickly design flexible, high performance custom integrated circuits using leading-edge CMOS technology while driving DoD to use the best commercial fabrication and design practices Sharply reduce barriers to DoD use of leading-edge custom integrated circuits (ICs) for orders-of-magnitude higher performance at low power for DoD systems.

15 N-ZERO passive sensor wake-up Continuous operation and near-zero power processing Persistent sensing with greatly extended lifetime and reduced cost Multiple sensing modalities with sensor fusion Lifetime (Days) Unattended Ground Sensors Battery leakage, active processing and N-ZERO wake-up Battery drainage by active wakeup circuitry 10 yrs. 1 yr. 1 mo Event Activity (% of Time) Devices are off (zero power consumption) yet continually alert.

16 Acoustic Sensor Wake-up Acoustic Signal Identify Sound of Interest + Noise Wake-up to generator and truck at > 5m with 12 nw of power consumption S. Jeong, et al. "21.6 A 12nW always-on acoustic sensing and object recognition microsystem using frequency-domain feature extraction and SVM classification." Solid-State Circuits Conference (ISSCC), 2017 IEEE International. IEEE, 2017.

17 Hierarchical identify Verify Exploit (HIVE) Enabling the DoD to perform graph analytics at the edge of the battlefield and not rely on datacenters back in the United States; providing greater situational awareness in addition to the ability to do real time sensor fusion and exploitation at the lowest echelons Next-generation server processor designed to find patterns in streaming data sets by using graph analytics

18 HIVE: An example of blending commercial and DoD interests TA 3: Evaluator (evaluation framework x improvement) Northrop Grumman Cyber security TA 2: Graph analytics toolkits (ref: Tensorflow, CUDA) Georgia Tech Pacific Northwest Graph Software What should be accelerated? Define graph primitives Create data format model Define data flow model Accelerators Memory Scaling TA 1: Graph analytics accelerator (ref: TPU, GPU) Intel Qualcomm Graph Hardware How should it be accelerated? Identify/Develop hardware accelerators for each building block Create memory controller which optimizes data movement based on sparse mapping Develop bus architectures to avoid congestion in data movement DISTRIBUTION A. Approved for public release: distribution unlimited. CUDA compute unified device architecture TPU tensor processing unit GPU graphics processing unit

19 Lifelong Learning Machines (L2M) Continual Learning Mechanisms Pursuing approaches for biologicallyinspired artificial intelligence utilizing flexible models to continue adapting during execution in the field Fundamentally new machine learning mechanisms for machines that learn continuously as they operate

20 Cortical Processor results Learn Architecture Dense Captioning Determine Optimal Fusion Architecture 21 classes, 3 modalities (ChaLearn, gesture) Requires localization and recurrence Results Found optimal result 278x faster than grid search method* Slightly higher accuracy than the hand designed (Neverova et.al. 2015) *12 iterations vs ~3300 System modifies architecture via hyper-parameters and finds best fusion processing paradigm Context: learning picture elements and relationships instead of whole image captioning SRI (Chai) Adaptive Architectures DISTRIBUTION A. Approved for public release: distribution unlimited. Stanford (Li) Context Sensitivity

21 Cortical Processor results Collaborative Machine Intelligence Predict Motion using Social LSTM Mitigating Catastrophic Forgetting Algorithmic Solutions Classification error: Set 1 Pedestrians and bikers Set 2 Skateboarders and cars Multiple Interacting Neural Networks Modeled Predictions Average Error vs. Predicted Location Each network sees some of others: interacts intelligently with dynamic world Stanford-UTK (Savarese) Collaborative Machine Intelligence DISTRIBUTION A. Approved for public release: distribution unlimited. First steps toward solving catastrophic forgetting Stanford-UTK (Savarese) Lifelong Learning

22 Common Heterogeneous Integration and IP Reuse Strategies (CHIPS) Market IP Proprietary IP 6 12 Weeks Developing the design tools and integration standards required to demonstrate modular integrated circuit (IC) designs that leverage the best of the DoD and commercial designs and technology Integrate CHIPS enables rapid integration of modular circuits at the die level

23 FCLK_15 GHz, FCW_771_clk_ttune_128_dem_en_ Frequency (Hz) 10 9 Predecessor is DAHI 300mm diameter Si CMOS wafer (45nm node) DAHI integration (Dec 2015): Si (45nm), InP (TF5 HBT), GaN (GaN20 HEMT) HBT Array - Beta at 1mA Beta_812_@1mA Beta_813_@1mA Beta_814_@1mA Beta_815_@1mA Beta_862_@1mA Beta_863_@1mA Beta_864_@1mA Beta_865_@1mA Beta_872_@1mA Beta_873_@1mA Beta_874_@1mA Beta_875_@1mA High foundry integration yields; test vehicles fully functional DAC with very low digital noise (-70dBc) % HIC yield 98% HBT post-integration R2C3M0 R3C4M0 R4C3M0 R5C4M0 R2C3M0 R3C4M0 R4C3M0 R5C4M0 R2C3M0 R3C4M0 R4C3M0 R5C4M0 R2C3M1 R3C4M1 R4C3M1 R5C4M1 R2C3M0 R3C4M0 R4C3M0 R5C4M0 R2C3M0 R3C4M0 R4C3M0 R5C4M0 AR_2 AR_2A AR_1 AR_1A AR_1B HIC Redundancy: None HIC Redundancy: 2x Relative Amplitude (db) Successful testing identified optimal S/H circuit for ADC (>65dB 2GHz) DAHI Diverse Accessible Heterogeneous Integration Sources: DARPA, Northrop Grumman

24 Interface standards: Too many? Not enough? How to compare? MonoLithic PCB 1.00E E+10 What standards will allow CHIPS to bridge the gap? 1.00E+09 GGGGGGGG/mmmm EEEEeerrggyy/bbbbbb BWD/EPB 1.00E E E E+05 WideIO HBM 32nm_SerDes Proprietary 65nm_SerDes Si Photonic Too many solutions can hinder wider adoption 28nm_SerDes 28nm_SerDes 1.00E+04 LVDS PCIe 1.00E E E E E E E+00 Interconnect Length (m) CHIPS challenge: make a usable interface standard Source: DARPA

25 Interface standards: Too many? Not enough? How to compare? 1.00E+11 MonoLithic PCB 1.00E E+09 CHIPS Convergence to a minimal set of standards is necessary GGGGGGGG/mmmm EEEEeerrggyy/bbbbbb BWD/EPB 1.00E E E E+05 WideIO HBM 32nm_SerDes Proprietary 65nm_SerDes Si Photonic 28nm_SerDes 28nm_SerDes 1.00E+04 LVDS PCIe 1.00E E E E E E E+00 Interconnect Length (m) CHIPS challenge: make a usable interface standard Source: DARPA

26 MTO Electronics Timeline /2015 N-ZERO Kickoff 4/2016 CRAFT Kickoff 6/2016 CHIPS Approved 8/22/2016 JUMP Approved 1/2017 L2M Approved 4/2017 HIVE Kickoff Today 4/2017 SSITH BAA Released Traditional Programs JUMP University Driven Page 3 Investments Industry Driven N-ZERO CRAFT HIVE L2M CHIPS SSITH

27 Workshop Summary: Linton Salmon Materials and Integration materials architectures designs JUMP + Traditional Programs

28 Workshop Summary: Tom Rondeau Wade Shen Architectures materials architectures designs JUMP + Traditional Programs

29 Workshop Summary: Andreas Olofsson Designs materials architectures designs JUMP + Traditional Programs

30 MTO Electronics Resurgence Initiative Timeline Launch, Learn, & Organize Summer of Listening Open Competition Complete Contracting 6/21: Industry Discussion 7/11: Defense Base Summit 7/18: 2-day workshop on Materials, Architectures, Designs 9/12: Proposals Requested (Expected) 4/20: Start Work Completed Happening Now Fall 2017 Spring months May Jun Jul Aug Sep Oct Nov Dec Jan Apr V V Defense Base Summit 2-day Workshop Proposals Requested Proposals Submitted Partners Selected Funding Released

31 Teaming Session Defense and National Needs (HIVE) (CRAFT) (Possible)

32 Advantest Cadence IBM Microsemi Qualcomm ST Research Allvia, Inc. Cold Logic Intel Microsoft Alphacore Esperanto Technologies Commercial Attendees Quantum Semiconductor Teledyne Intermolecular MonolithIC 3D QuickLogic Teradeep Analog Devices Ethaphase Intrinsix Moon RF2BITS Texas Instruments Applied Materials Fault Tolerant Technology Jariet Nanoshift Sage Design Automation TSMC ARM Ferric, Inc. Kryptos Solutions Novati Siemens Vista Ventures Astrileux Flex Innovation Kyndi Nuvotronics Avalanche Technology Silicon Storage Technologies Google MaXentric NVIDIA Silvaco Xilinx Bell Labs HP Mentor Graphics PARC SRI International BroadPak HRL Micron Photia Synopsys

33 University/Research Attendees AFRL Georgia Tech Portland State Arizona State Harvard Purdue ARL Berkeley National Lab MIT Naval Research Lab Sandia National Lab Stanford BRIDG NC State UC Berkeley Columbia University Northwestern UC Davis Cyclotron Road Notre Dame UC San Diego DRAPER Oak Ridge National Lab UC Santa Cruz University of Arkansas University of Illinois at Chicago University of Massachusetts University of Michigan University of Minnesota University of New Mexico University of Pennsylvania University of Texas at Dallas University of Texas at Dallas University of Washington USC Virginia Tech

34 Defense Industry Attendees BAE Boeing Leidos Lockheed Martin Northrop Grumman Raytheon Rockwell Collins

35

36 Teaming Session #1 11:00 am 12:30 pm Defense and National Needs (HIVE) (CRAFT) (Possible) Followed by: Lunch (12:30PM, Imperial Ballroom) Teaming Session #2 (2:00PM, Club Regent) Workshop Close (4:00PM)

37 Teaming Session #2 2:00 pm 4:00 pm Defense and National Needs (HIVE) (CRAFT) (Possible) The workshop will adjourn informally at 4:00pm. Thank you for attending.

38

A TECHNOLOGY-ENABLED NEW TRUST APPROACH

A TECHNOLOGY-ENABLED NEW TRUST APPROACH A TECHNOLOGY-ENABLED NEW TRUST APPROACH Dr. William Chappell Director, DARPA Microsystems Technology Office (MTO) The U.S. semiconductor landscape The U.S. military must have access to microelectronics

More information

Electronics Resurgence Initiative

Electronics Resurgence Initiative Electronics Resurgence Initiative Presentation at the Design Automation Conference 6/21/17 What is DARPA? The purpose of this directive is to provide within the Department of Defense an agency for the

More information

Challenges in Imaging, Sensors, and Signal Processing

Challenges in Imaging, Sensors, and Signal Processing Challenges in Imaging, Sensors, and Signal Processing Raymond Balcerak MTO Technology Symposium March 5-7, 2007 1 Report Documentation Page Form Approved OMB No. 0704-0188 Public reporting burden for the

More information

What is the Electronics Resurgence Initiative?

What is the Electronics Resurgence Initiative? What is the Electronics Resurgence Initiative? Defense Industry Executive Summit July 11, 2017 Reference herein to any specific commercial product, process, or service by trade name, trademark or other

More information

DoD Electronics Priorities

DoD Electronics Priorities DoD Electronics Priorities Kristen Baldwin Acting Deputy Assistant Secretary of Defense for Systems Engineering Kickoff Meeting Arlington, VA January 18, 2018 Jan 18, 2018 Page-1 Elements of a Strategy

More information

MTO Technology Programs Progress. Frank Stroili Technical Director, RF/Mixed signal

MTO Technology Programs Progress. Frank Stroili Technical Director, RF/Mixed signal MTO Technology Programs Progress Frank Stroili Technical Director, RF/Mixed signal 603-885-7487 frank.stroili@baesystems.com 1 Report Documentation Page Form Approved OMB No. 0704-0188 Public reporting

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

AFRL-SN-WP-TM

AFRL-SN-WP-TM AFRL-SN-WP-TM-2006-1156 MIXED SIGNAL RECEIVER-ON-A-CHIP RF Front-End Receiver-on-a-Chip Dr. Gregory Creech, Tony Quach, Pompei Orlando, Vipul Patel, Aji Mattamana, and Scott Axtell Advanced Sensors Components

More information

The Future of Advanced (Secure) Computing

The Future of Advanced (Secure) Computing The Future of Advanced (Secure) Computing The Future of Advanced (Secure) Computing This material is based upon work supported by the Assistant Secretary of Defense for Research and Engineering under Air

More information

DARPA TRUST in IC s Effort. Dr. Dean Collins Deputy Director, MTO 7 March 2007

DARPA TRUST in IC s Effort. Dr. Dean Collins Deputy Director, MTO 7 March 2007 DARPA TRUST in IC s Effort Dr. Dean Collins Deputy Director, MTO 7 March 27 Report Documentation Page Form Approved OMB No. 74-88 Public reporting burden for the collection of information is estimated

More information

Data-Starved Artificial Intelligence

Data-Starved Artificial Intelligence Data-Starved Artificial Intelligence Data-Starved Artificial Intelligence This material is based upon work supported by the Assistant Secretary of Defense for Research and Engineering under Air Force Contract

More information

Dr. Cynthia Dion-Schwartz Acting Associate Director, SW and Embedded Systems, Defense Research and Engineering (DDR&E)

Dr. Cynthia Dion-Schwartz Acting Associate Director, SW and Embedded Systems, Defense Research and Engineering (DDR&E) Software-Intensive Systems Producibility Initiative Dr. Cynthia Dion-Schwartz Acting Associate Director, SW and Embedded Systems, Defense Research and Engineering (DDR&E) Dr. Richard Turner Stevens Institute

More information

High-Frequency Transistors High-Frequency ICs. Technologies & Applications

High-Frequency Transistors High-Frequency ICs. Technologies & Applications High-Frequency Transistors High-Frequency ICs Technologies & Applications Mark Rodwell University of California, Santa Barbara rodwell@ece.ucsb.edu 805-893-3244, 805-893-2362 fax Report Documentation Page

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

Fracking for 5G: Reconfigurable RF and High-Efficiency Millimeter-wave Circuits to Find Elusive Spectrum

Fracking for 5G: Reconfigurable RF and High-Efficiency Millimeter-wave Circuits to Find Elusive Spectrum Fracking for 5G: Reconfigurable RF and High-Efficiency Millimeter-wave Circuits to Find Elusive Spectrum Dr. James Buckwalter RF & Mixed-circuit Integrated Circuits Laboratory University of California

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

The Monolithic Radio Frequency Array & the Coming Revolution of Convergence

The Monolithic Radio Frequency Array & the Coming Revolution of Convergence DARPATech, DARPA s 25 th Systems and Technology Symposium August 7, 2007 Anaheim, California Teleprompter Script for Dr. Mark Rosker, Program Manager, Microsystems Technology Office The Monolithic Radio

More information

Dynamic Range-enhanced Electronics and Materials (DREaM)

Dynamic Range-enhanced Electronics and Materials (DREaM) Dynamic Range-enhanced Electronics and Materials (DREaM) Daniel S. Green U.S. Defense Advanced Research Projects Agency (DARPA) DREaM Proposers Day Arlington, VA March 29, 2017 1 Ground Rules Purpose of

More information

www.ixpug.org @IXPUG1 What is IXPUG? http://www.ixpug.org/ Now Intel extreme Performance Users Group Global community-driven organization (independently ran) Fosters technical collaboration around tuning

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Department of Electrical and Computer Engineering Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Wei-Ping Huang Department of Electrical and Computer Engineering McMaster

More information

Commodity Management in the Department of Defense

Commodity Management in the Department of Defense 0 DMSMS Workshop Commodity Management in the Department of Defense Microelectronics Commodity San Antonio, TX December, 2005 1 Contents Introduction Issues and trends (DoD vs. Industry) Commodity overview

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

ni.com Redefining RF and Microwave Instruments

ni.com Redefining RF and Microwave Instruments Redefining RF and Microwave Instruments RF Engineering Experience NI s Investment in RF & Microwave Test Research and Development (R&D): More than 15 times the R&D investment in RF & Microwave since 2003

More information

Joint University Microelectronics Program (JUMP) A DARPA Perspective

Joint University Microelectronics Program (JUMP) A DARPA Perspective Joint University Microelectronics Program (JUMP) A DARPA Perspective Linton Salmon January 23, 2017 1 Joint University Microelectronics Program (JUMP) Objectives Drive long-term research in microelectronics

More information

24 GHz ISM Band Silicon RF IC Capability

24 GHz ISM Band Silicon RF IC Capability Cobham Electronic Systems Sensor Systems Lowell, MA USA www.cobham.com June 14, 2012 Steve.Fetter@cobham.com The most important thing we build is trust 24 GHz ISM Band Silicon RF IC Capability This data

More information

UNCLASSIFIED R-1 ITEM NOMENCLATURE. FY 2014 FY 2014 OCO ## Total FY 2015 FY 2016 FY 2017 FY 2018

UNCLASSIFIED R-1 ITEM NOMENCLATURE. FY 2014 FY 2014 OCO ## Total FY 2015 FY 2016 FY 2017 FY 2018 Exhibit R-2, RDT&E Budget Item Justification: PB 2014 Office of Secretary Of Defense DATE: April 2013 COST ($ in Millions) All Prior FY 2014 Years FY 2012 FY 2013 # Base FY 2014 FY 2014 OCO ## Total FY

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

High Performance Mixed Signal Circuits Enabled by the Direct Monolithic Heterogeneous Integration of InP HBT and Si CMOS on a Silicon Substrate

High Performance Mixed Signal Circuits Enabled by the Direct Monolithic Heterogeneous Integration of InP HBT and Si CMOS on a Silicon Substrate High Performance Mixed Signal Circuits Enabled by the Direct Monolithic Heterogeneous Integration of InP HBT and Si CMOS on a Silicon Substrate The MIT Faculty has made this article openly available. Please

More information

DARPA/DSO 101. Dr. Valerie Browning Director Defense Sciences Office. March 2018

DARPA/DSO 101. Dr. Valerie Browning Director Defense Sciences Office. March 2018 DARPA/DSO 101 Dr. Valerie Browning Director Defense Sciences Office March 2018 DARPA s Mission Breakthrough Technologies for National Security Communications/Networking Stealth Precision Guidance & Navigation

More information

COI Annual Update: Guidance April 2017

COI Annual Update: Guidance April 2017 COI Annual Update: Guidance 18-20 April 2017 1 Space COI Annual Update - Overview COI Description The goal of the Space COI is to 1) Facilitate collaboration and leveraging of complementary investments

More information

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Proposal Smart Vision Sensors for Entomologically Inspired Micro Aerial Vehicles Daniel Black. Advisor: Dr. Reid Harrison

Proposal Smart Vision Sensors for Entomologically Inspired Micro Aerial Vehicles Daniel Black. Advisor: Dr. Reid Harrison Proposal Smart Vision Sensors for Entomologically Inspired Micro Aerial Vehicles Daniel Black Advisor: Dr. Reid Harrison Introduction Impressive digital imaging technology has become commonplace in our

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Lecture 1: Introduction Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) Course Contents Implementation of high-performance digital

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Wafer Scale Integration of III-Vs (GaN) with Si CMOS for RF Applications

Wafer Scale Integration of III-Vs (GaN) with Si CMOS for RF Applications Wafer Scale Integration of III-Vs (GaN) with Si CMOS for RF Applications Some of this data was developed pursuant to Contracts Number N00014-13-C-0231 with the US Government. The US Government s rights

More information

Autonomy Technology Research Center Collaboration with Air Force Research Laboratory Sensors Directorate and Wright State University

Autonomy Technology Research Center Collaboration with Air Force Research Laboratory Sensors Directorate and Wright State University I n t e g r i t y - S e r v i c e - E x c e l l e n c e Autonomy Technology Research Center Collaboration with Air Force Research Laboratory Sensors Directorate and Wright State University AFRL Sensors

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

Rump Session: Advanced Silicon Technology Foundry Access Options for DoD Research. Prof. Ken Shepard. Columbia University

Rump Session: Advanced Silicon Technology Foundry Access Options for DoD Research. Prof. Ken Shepard. Columbia University Rump Session: Advanced Silicon Technology Foundry Access Options for DoD Research Prof. Ken Shepard Columbia University The views and opinions presented by the invited speakers are their own and should

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Understanding DARPA - How to be Successful - Peter J. Delfyett CREOL, The College of Optics and Photonics

Understanding DARPA - How to be Successful - Peter J. Delfyett CREOL, The College of Optics and Photonics Understanding DARPA - How to be Successful - Peter J. Delfyett CREOL, The College of Optics and Photonics delfyett@creol.ucf.edu November 6 th, 2013 Student Union, UCF Outline Goal and Motivation Some

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

Army Acoustics Needs

Army Acoustics Needs Army Acoustics Needs DARPA Air-Coupled Acoustic Micro Sensors Workshop by Nino Srour Aug 25, 1999 US Attn: AMSRL-SE-SA 2800 Powder Mill Road Adelphi, MD 20783-1197 Tel: (301) 394-2623 Email: nsrour@arl.mil

More information

ECSEL JU Update. Andreas Wild Executive Director

ECSEL JU Update. Andreas Wild Executive Director ECSEL JU Update Andreas Wild Executive Director ARTEMIS & ITEA Co-summit, Berlin, 11 March 2015 Content 2014 Outcome 2015 Progress 1. All topics open 2. RIA versus IA 3. No restrictions 2015 Plans and

More information

RF MEMS Circuits Applications of MEMS switch and tunable capacitor

RF MEMS Circuits Applications of MEMS switch and tunable capacitor RF MEMS Circuits Applications of MEMS switch and tunable capacitor Dr. Jeffrey DeNatale, Manager, MEMS Department Electronics Division jdenatale@rwsc.com 85-373-4439 Panamerican Advanced Studies Institute

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

Reconfigurable RF Systems Using Commercially Available Digital Capacitor Arrays

Reconfigurable RF Systems Using Commercially Available Digital Capacitor Arrays Reconfigurable RF Systems Using Commercially Available Digital Capacitor Arrays Noyan Kinayman, Timothy M. Hancock, and Mark Gouker RF & Quantum Systems Technology Group MIT Lincoln Laboratory, Lexington,

More information

The world s first collaborative machine-intelligence competition to overcome spectrum scarcity

The world s first collaborative machine-intelligence competition to overcome spectrum scarcity The world s first collaborative machine-intelligence competition to overcome spectrum scarcity Paul Tilghman Program Manager, DARPA/MTO 8/11/16 1 This slide intentionally left blank 2 This slide intentionally

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

Trusted Microelectronic Investment Strategy

Trusted Microelectronic Investment Strategy Trusted Microelectronic Investment Strategy Dr. Jeremy Muldavin, DASD(SE) August 16, 2016 08/16/16 Page-1 Outline State of advanced microelectronics for DoD applications Strategy to assure access for the

More information

Foundations Required for Novel Compute (FRANC) BAA Frequently Asked Questions (FAQ) Updated: October 24, 2017

Foundations Required for Novel Compute (FRANC) BAA Frequently Asked Questions (FAQ) Updated: October 24, 2017 1. TA-1 Objective Q: Within the BAA, the 48 th month objective for TA-1a/b is listed as functional prototype. What form of prototype is expected? Should an operating system and runtime be provided as part

More information

LEMNIOV5.TXT. Title: The Next DARPA Revolution: Integrated Microsystems Zachary Lemnios

LEMNIOV5.TXT. Title: The Next DARPA Revolution: Integrated Microsystems Zachary Lemnios Title: The Next DARPA Revolution: Integrated Microsystems Zachary Lemnios The Next DARPA Revolution: Integrated MicroSYSTEMS Zachary J. Lemnios, Director Microsystems Technology Office Defense Advanced

More information

Reconfigurable Imaging (ReImagine)

Reconfigurable Imaging (ReImagine) Reconfigurable Imaging (ReImagine) Dr. Jay Lewis DARPA/MTO ReImagine Proposers Day September 30, 2016 Agenda Agenda Time Event/Topic Speaker Location 8:00AM 9:00AM Registration Check-in - Lobby Hallway

More information

A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process

A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process A Bandgap Voltage Reference Circuit Design In 0.18um Cmos Process It consists of a threshold voltage extractor circuit and a proportional to The behavior of the circuit is analytically described, a design

More information

TRUST in Integrated Circuits Program

TRUST in Integrated Circuits Program TRUST in Integrated Circuits Program Briefing to Industry Mr. Brian Sharkey i_sw Corp 26 March 2007 Agenda 0800-0815 0815 Introductions and Agenda 0815-0900 0900 Technical Objectives of the TRUST Program

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

The Intel Science and Technology Center for Pervasive Computing

The Intel Science and Technology Center for Pervasive Computing The Intel Science and Technology Center for Pervasive Computing Investing in New Levels of Academic Collaboration Rajiv Mathur, Program Director ISTC-PC Anthony LaMarca, Intel Principal Investigator Professor

More information

MIT Lincoln Laboratory GRAPH EXPLOITATION SYMPOSIUM

MIT Lincoln Laboratory GRAPH EXPLOITATION SYMPOSIUM GraphEx-16 Graph Exploitation GRAPH EXPLOITATION SYMPOSIUM WIN 18 19 May 2016 MAC 510827 GES-7 Issued: 6 January 2017 Approved for public release: distribution unlimited. This material is based upon work

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Vision with Precision Webinar Series Augmented & Virtual Reality Aaron Behman, Xilinx Mark Beccue, Tractica. Copyright 2016 Xilinx

Vision with Precision Webinar Series Augmented & Virtual Reality Aaron Behman, Xilinx Mark Beccue, Tractica. Copyright 2016 Xilinx Vision with Precision Webinar Series Augmented & Virtual Reality Aaron Behman, Xilinx Mark Beccue, Tractica Xilinx Vision with Precision Webinar Series Perceiving Environment / Taking Action: AR / VR Monitoring

More information

Neural Networks The New Moore s Law

Neural Networks The New Moore s Law Neural Networks The New Moore s Law Chris Rowen, PhD, FIEEE CEO Cognite Ventures December 216 Outline Moore s Law Revisited: Efficiency Drives Productivity Embedded Neural Network Product Segments Efficiency

More information

Special Notice # N R-S002 - Frequently Asked Questions #1

Special Notice # N R-S002 - Frequently Asked Questions #1 Special Notice # N00014-19-R-S002 - Frequently Asked Questions #1 General and Contracting Questions 1. Q: Would you please describe CONOPS more? A: The CONOPS described in the Special Notice and at the

More information

DoD Research and Engineering

DoD Research and Engineering DoD Research and Engineering Defense Innovation Unit Experimental Townhall Mr. Stephen Welby Assistant Secretary of Defense for Research and Engineering February 18, 2016 Preserving Technological Superiority

More information

Long-Term Strategy for DoD Trusted and Assured Microelectronics Needs

Long-Term Strategy for DoD Trusted and Assured Microelectronics Needs Long-Term Strategy for DoD Trusted and Assured Microelectronics Needs Jeremy Muldavin Office of the Deputy Assistant Secretary of Defense for Systems Engineering 19th Annual NDIA Systems Engineering Conference

More information

Technology Roadmapping. Lesson 3

Technology Roadmapping. Lesson 3 Technology Roadmapping Lesson 3 Leadership in Science & Technology Management Mission Vision Strategy Goals/ Implementation Strategy Roadmap Creation Portfolios Portfolio Roadmap Creation Project Prioritization

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Resonant MEMS Acoustic Switch Package with Integral Tuning Helmholtz Cavity

Resonant MEMS Acoustic Switch Package with Integral Tuning Helmholtz Cavity Resonant MEMS Acoustic Switch Package with Integral Tuning Helmholtz Cavity J. Bernstein, M. Bancu, D. Gauthier, M. Hansberry, J. LeBlanc, O. Rappoli, M. Tomaino-Iannucci, M. Weinberg May 1, 2018 Outline

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

RFIC Group Semester and Diploma Projects

RFIC Group Semester and Diploma Projects RFIC Group Semester and Diploma Projects 1. Fully Implantable Remotely Powered Sensor System for Biomedical Monitoring System This project focuses on the design of a fully implantable, remotely powered

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

Architecting Systems of the Future, page 1

Architecting Systems of the Future, page 1 Architecting Systems of the Future featuring Eric Werner interviewed by Suzanne Miller ---------------------------------------------------------------------------------------------Suzanne Miller: Welcome

More information

Information Warfare Research Project

Information Warfare Research Project SPACE AND NAVAL WARFARE COMMAND Information Warfare Research Project Charleston Defense Contractors Association 49th Small Business Industry Outreach Initiative 30 August 2018 Mr. Don Sallee SSC Atlantic

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

High Performance Computing Systems and Scalable Networks for. Information Technology. Joint White Paper from the

High Performance Computing Systems and Scalable Networks for. Information Technology. Joint White Paper from the High Performance Computing Systems and Scalable Networks for Information Technology Joint White Paper from the Department of Computer Science and the Department of Electrical and Computer Engineering With

More information

Engineered Resilient Systems DoD Science and Technology Priority

Engineered Resilient Systems DoD Science and Technology Priority Engineered Resilient Systems DoD Science and Technology Priority Mr. Scott Lucero Deputy Director, Strategic Initiatives Office of the Deputy Assistant Secretary of Defense (Systems Engineering) Scott.Lucero@osd.mil

More information

Multiband NFC for High-Throughput Wireless Computer Vision Sensor Network

Multiband NFC for High-Throughput Wireless Computer Vision Sensor Network Multiband NFC for High-Throughput Wireless Computer Vision Sensor Network Fei Y. Li, Jason Y. Du 09212020027@fudan.edu.cn Vision sensors lie in the heart of computer vision. In many computer vision applications,

More information

Product Design Methodology

Product Design Methodology Product Design Methodology 2018 Tokyo Christophe Tretz, Carlos Mazure 1 SOI Industry Consortium 2018 Agenda SOI Industry Consortium SoC design approach Design considerations Conclusions 2 SOI Industry

More information

Mixed-Signal Design Innovations in FDSOI Technology. Boris Murmann April 13, 2016

Mixed-Signal Design Innovations in FDSOI Technology. Boris Murmann April 13, 2016 Mixed-Signal Design Innovations in FDSOI Technology Boris Murmann April 13, 2016 Outline Application trends and needs Review of FDSOI advantages Examples High-speed data conversion RF transceivers Medical

More information

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP)

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Hyemin Yang 1, Jongmoon Kim 2, Franklin Bien 3, and Jongsoo Lee 1a) 1 School of Information and Communications,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 0704-0188 Public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

5G R&D at Huawei: An Insider Look

5G R&D at Huawei: An Insider Look 5G R&D at Huawei: An Insider Look Accelerating the move from theory to engineering practice with MATLAB and Simulink Huawei is the largest networking and telecommunications equipment and services corporation

More information