ECE 5655/4655 Laboratory Problems

Size: px
Start display at page:

Download "ECE 5655/4655 Laboratory Problems"

Transcription

1 Assignment #4 ECE 5655/4655 Laboratory Problems Make Note o the Following: Due Monday April 15, 2019 I possible write your lab report in Jupyter notebook I you choose to use the spectrum/network analyzer to obtain ti graphics, just import these graphics iles into Jupyter notebook as well. Problems: Real-Time FIR Digital Filters 1. Linear phase lowpass ilter design rom amplitude response speciications. a.) Design a test ilter using a 48 khz sampling rate. The design is to be an equal ripple lowpass that satisies the amplitude response speciications shown below: H db k 4.0k passband ripple = 0.2 db stopband loss = 50 db 24.0k Hz One design approach is to use MATLAB s datool. I recommend you use the scikit-dsp-comm module ir_design_helper. The details o this are explained in the Jupyter notebook Assignment4_sp2019.ipynb contained in the Python older o Assignment 4 ZIP package, Assignment4_sp2019.zip. Save the coeicients rom the design in a header ile as explained in the Jupyter notebook. Run the ilter in real-time on the FM4 board using the routine: FIR_ilt_loat32(&FIR1,&x,&y,1). b.) Now quantize the coeicients the coeicients in the Jupyter notebook and save out a quantized coeicient header ile, similar to -- s 2

2 Run the ilter on the FM4 using: FIR_ilt_int16(&FIR2,&let_in_sample,&let_out_sample,1,15); Compare measured results with theory results, similar what is shown below or a 78 tap lowpass design. In particular provide magnitude and phase response plots beore and ater quantization. Your plots should use a digital requency axis scaled to the actual sampling requency, e.g., as shown in the Jupyter notebook code cell and plot screen shot below: c.) Finally compare the execution perormance under -o3 optimization o the loat_32 and int_16 design, and compare the loating-point ilter perormance with the CMSIS-DSP FIR ilter unction arm_ir_32(), which has the identical interace to FIR_ilt_32(), except pstate needs to managed dierently as described in Problem 2c. From ARM s CMSIS-DSP Web Site pstate has length equal to numtaps+blocksize-1, here block- Size=1, so in the end pstate has length numtaps. Note: On the ixed-point side arm_ir_- ast_q15()/arm_ir_q15() are the CMSIS-DSP unction equivalent to FIR_ilt_int16(). Measuring timing using GPIO signals is recommended. To be clear, in the end you will have our timing results: (1) FIR_ilt_32(), (2) FIR_ilt_int16(), (3) arm_ir_32(), and arm_ir_ast_q15() or arm_ir_q15(). Note: With the ARM ixed-point unctions the number o ilter coeicients must be greather than our and even! I numtaps is odd the ix is to append one zero coeicient to the end o the ilter and increate numtaps by one. ECE 5655/4655 Page 2 Assignment #4

3 2. Consider an equal-ripple FIR bandpass with amplitude response: H db k passband ripple = 1 db stopband loss = 60 db -- s k 5.0k 7.0k7.5k The objective is to implement this ilter on both channels, let and right. Yes, you will need to instantiate two ilter data structures and two state arrays. a.) Design this ilter in the Jupyter notebook using ir_d.ir_remez_bp(). Note: To get the desired 60 db stopband attenuation you will have to tweak the inal argument N_bump. Export the coeicients to a C header ile as loat32_t. b.) The ilter length in taps should be just over 200. This ilter will not run at s = using ISR-based processing. Show that the ilter will meet real-time requirement at s = 8000 Hz using the CMSIS-DSP unction arm_ir_32(). Obtain the requency response o the ilter (one channel is OK here) using the network analyzer (Agilent o Analog Discovery) and veriy that the critical requencies are scaled accordingly or operating a s = design at reduced sampling rate. Measure the interrupt processing time using the GPIO pin. How ar is this time away rom meeting real-time at s = Hz? c.) To get the design running at s = Hz you are orced to use DMA to increase the processing eiciency. Furthermore you must use the CMSIS-DSP unction arm_ir_32(&fir1, xl, yl, DMA_BUFFER_SIZE); arm_ir_32(&fir2, xr, yr, DMA_BUFFER_SIZE); and its rame based capabilities. Note when using arm_ir_32() with DMA the state array, pstate, will have length DMA_BUFFER_SIZE + N_FIR_Taps -1. Note in this problem the unction FIR_ilt_loat32(&FIR1,xL,yL,DMA_BUFFER_SIZE); is not ast enough to do even one channel. For iltering with DMA you unortunately need the break the rame into let and right channel buers beore using rame-based FIR iltering. For example on the FM4 we have to unpack and then re-pack: void process_dma_buer(void) { int i; uint32_t *txbu, *rxbu; i(tx_proc_buer == PING) txbu = dma_tx_buer_ping; else txbu = dma_tx_buer_pong; i(rx_proc_buer == PING) rxbu = dma_rx_buer_ping; else rxbu = dma_rx_buer_pong; // Unpack DMA buer or(i=0; i<dma_buffer_size ; i++) 24.0k Hz ECE 5655/4655 Page 3 Assignment #4

4 { //*txbu++ = *rxbu++; audio_chr = (rxbu[i] & 0x0000FFFF); audio_chl = ((rxbu[i] >>16)& 0x0000FFFF); xl[i] = FM4_GUI.P_vals[0]*audio_chL; xr[i] = FM4_GUI.P_vals[1]*audio_chR; } // Frame-based processing // TBD // Repack DMA buer or(i=0; i<dma_buffer_size ; i++) { audio_chl = (int16_t) yl[i]; audio_chr = (int16_t) yr[i]; } *txbu++ = ((audio_chl<<16 & 0xFFFF0000)) + (audio_chr & 0x0000FFFF); } tx_buer_empty = 0; rx_buer_ull = 0; Test the ull s = Hz sampling rate design using the DMA processing unction shown above. Obtain a network analyzer plots o the ilter response on both the let and right channels to compare with the Python theory. Measure the rame processing time using the GPIO pin. What is the equivalent time to process one sample per channel? 3. Hilbert transorm ilter design and real-time analytic signal ormation or envelope detection o an AM signal. The basic idea o this problem is to implement the ollowing block diagram: AM modulated carrier at 16 khz with message requency a 1kHz sinusoid at a depth o 80%. s xn n d You get to use a Delay ast square-root here FIR Re FM4 zn rn 1 z 1 FM4 A/D xn z 1 D/A Hilbert Im Envelope DC Block FIR Detector = 48kHz M = 31 taps s = 48kHz xˆ n The Hilbert transorm is discussed in ECE 4625/5625 Communication Systems I. The heart o the matter is that in the requency domain, the Hilbert transorm o a signal phase shits equally all requency components by 90, i.e., Xˆ jsgn X, where X = Fxt, H is the special Hilbert transorming ilter, and n d = H Hilbert X = Headphone Jack ECE 5655/4655 Page 4 Assignment #4

5 sgn 1, 0 1, 0 In the discrete-time domain the results are the same, that is = Xˆ e j2 s jsgn e j2 s Xe j2 s = Here the Hilbert transorming ilter is an FIR ilter o 31 taps which approximates the ideal Hilbert transorming ilter. See the Jupyter notebook or design details, but note that an alternate FIR design, which has a narrow passband centered on 16 khz is now recommended. With this design the passband ripple is very small around 16 khz, e.g, The mean time delay 31-Tap designs with dierent passband deinitions; thus the trade to get a lat gain over a narrow bandwidth in the second design. or signals passing through the ilter is = 15, hence in the above block diagram n d = 15 samples. The second design (orange) will produce a optimum analytic signal when the input is centered on 16 khz and has a narrow spectrum. An analytic signal (a complex signal) is ormed by adding zn = xn + jxˆ n = rn e j n has magnitude rn which is the so-called signal envelope. In this problem we are interested in demodulating an amplitude modulated (AM) carrier o the orm xn A c 1 a 2 m + cos ----n, 2 c = cos --- n xn + jxˆ n. The new signal where 0 a 1 is the AM modulation index, m is the message requency, and c is the carrier requency. When the signal xn is processed into the analytic signal zn, the corresponding envelope is rn A c 1 a 2 m + cos ----n A c A c a 2 m = = + cos ----n s The message signal is proportional to the second term. Hence to complete the processing you need at include a DC blocking ilter to remove the bias term A c. This ilter, shown in the above block diagram, has z-transorm representation s s s ECE 5655/4655 Page 5 Assignment #4

6 H block z 1 z 1 = , 1 z 1 with close to, but less than one. A value o 0.95 works well here. In code you will need to implement the ilter dierence equation yn = yn 1 + xn xn 1 This is best done by creating two global variables to hold the ilter state. In Python code, written to look like C, this might appear as shown below: a.) Validate the system concept in the Jupyter notebook by completing the simulation model already started in Assignment4_sp2019.ipynb. The objective is to veriy that the envelop o the complex signal does indeed contain the original 1 khz message signal. b.) Implement the system on the FM4 board and input an AM test signal with 16 khz carrier requency and 1 khz message sinusoid at 80% modulation. Coniguration o the Analog Discovery waveorm generator is: c.) Compare results captured rom the FM4 headphone output jack with the Python simulation o (a). d.) Going beyond the call, consider increasing the Hilbert FIR length to 63 or 121 to see what improvement you see in the recovered AM message signal. ECE 5655/4655 Page 6 Assignment #4

ECE 5655/4655 Laboratory Problems

ECE 5655/4655 Laboratory Problems Assignment #5 ECE 5655/4655 Laboratory Problems Make Note of the Following: Due MondayApril 29, 2019 If possible write your lab report in Jupyter notebook If you choose to use the spectrum/network analyzer

More information

1. Motivation. 2. Periodic non-gaussian noise

1. Motivation. 2. Periodic non-gaussian noise . Motivation One o the many challenges that we ace in wireline telemetry is how to operate highspeed data transmissions over non-ideal, poorly controlled media. The key to any telemetry system design depends

More information

Amplifiers. Department of Computer Science and Engineering

Amplifiers. Department of Computer Science and Engineering Department o Computer Science and Engineering 2--8 Power ampliiers and the use o pulse modulation Switching ampliiers, somewhat incorrectly named digital ampliiers, have been growing in popularity when

More information

3.6 Intersymbol interference. 1 Your site here

3.6 Intersymbol interference. 1 Your site here 3.6 Intersymbol intererence 1 3.6 Intersymbol intererence what is intersymbol intererence and what cause ISI 1. The absolute bandwidth o rectangular multilevel pulses is ininite. The channels bandwidth

More information

Experiment 7: Frequency Modulation and Phase Locked Loops Fall 2009

Experiment 7: Frequency Modulation and Phase Locked Loops Fall 2009 Experiment 7: Frequency Modulation and Phase Locked Loops Fall 2009 Frequency Modulation Normally, we consider a voltage wave orm with a ixed requency o the orm v(t) = V sin(ω c t + θ), (1) where ω c is

More information

McGill University. Department. of Electrical and Computer Engineering. Communications systems A

McGill University. Department. of Electrical and Computer Engineering. Communications systems A McGill University Department. o Electrical and Computer Engineering Communications systems 304-411A 1 The Super-heterodyne Receiver 1.1 Principle and motivation or the use o the super-heterodyne receiver

More information

With the proposed technique, those two problems will be overcome. reduction is to eliminate the specific harmonics, which are the lowest orders.

With the proposed technique, those two problems will be overcome. reduction is to eliminate the specific harmonics, which are the lowest orders. CHAPTER 3 OPTIMIZED HARMONIC TEPPED-WAVEFORM TECHNIQUE (OHW The obective o the proposed optimized harmonic stepped-waveorm technique is to reduce, as much as possible, the harmonic distortion in the load

More information

ECE 5650/4650 Exam II November 20, 2018 Name:

ECE 5650/4650 Exam II November 20, 2018 Name: ECE 5650/4650 Exam II November 0, 08 Name: Take-Home Exam Honor Code This being a take-home exam a strict honor code is assumed. Each person is to do his/her own work. Bring any questions you have about

More information

Project 2. Project 2: audio equalizer. Fig. 1: Kinter MA-170 stereo amplifier with bass and treble controls.

Project 2. Project 2: audio equalizer. Fig. 1: Kinter MA-170 stereo amplifier with bass and treble controls. Introduction Project 2 Project 2: audio equalizer This project aims to motivate our study o ilters by considering the design and implementation o an audio equalizer. An equalizer (EQ) modiies the requency

More information

Sampling and Multirate Techniques for Complex and Bandpass Signals

Sampling and Multirate Techniques for Complex and Bandpass Signals Sampling and Multirate Techniques or Complex and Bandpass Signals TLT-586/IQ/1 M. Renors, TUT/DCE 21.9.21 Sampling and Multirate Techniques or Complex and Bandpass Signals Markku Renors Department o Communications

More information

Instantaneous frequency Up to now, we have defined the frequency as the speed of rotation of a phasor (constant frequency phasor) φ( t) = A exp

Instantaneous frequency Up to now, we have defined the frequency as the speed of rotation of a phasor (constant frequency phasor) φ( t) = A exp Exponential modulation Instantaneous requency Up to now, we have deined the requency as the speed o rotation o a phasor (constant requency phasor) φ( t) = A exp j( ω t + θ ). We are going to generalize

More information

Control of Light and Fan with Whistle and Clap Sounds

Control of Light and Fan with Whistle and Clap Sounds EE389 EDL Report, Department o Electrical Engineering, IIT Bombay, November 2004 Control o Light and Fan with Whistle and Clap Sounds Kashinath Murmu(01D07038) Group: D13 Ravi Sonkar(01D07040) Supervisor

More information

The Communications Channel (Ch.11):

The Communications Channel (Ch.11): ECE-5 Phil Schniter February 5, 8 The Communications Channel (Ch.): The eects o signal propagation are usually modeled as: ECE-5 Phil Schniter February 5, 8 Filtering due to Multipath Propagation: The

More information

Sinusoidal signal. Arbitrary signal. Periodic rectangular pulse. Sampling function. Sampled sinusoidal signal. Sampled arbitrary signal

Sinusoidal signal. Arbitrary signal. Periodic rectangular pulse. Sampling function. Sampled sinusoidal signal. Sampled arbitrary signal Techniques o Physics Worksheet 4 Digital Signal Processing 1 Introduction to Digital Signal Processing The ield o digital signal processing (DSP) is concerned with the processing o signals that have been

More information

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015

ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 Purdue University: ECE438 - Digital Signal Processing with Applications 1 ECE438 - Laboratory 7a: Digital Filter Design (Week 1) By Prof. Charles Bouman and Prof. Mireille Boutin Fall 2015 1 Introduction

More information

Traditional Analog Modulation Techniques

Traditional Analog Modulation Techniques Chapter 5 Traditional Analog Modulation Techniques Mikael Olosson 2002 2007 Modulation techniques are mainly used to transmit inormation in a given requency band. The reason or that may be that the channel

More information

Complex Spectrum. Box Spectrum. Im f. Im f. Sine Spectrum. Cosine Spectrum 1/2 1/2 1/2. f C -f C 1/2

Complex Spectrum. Box Spectrum. Im f. Im f. Sine Spectrum. Cosine Spectrum 1/2 1/2 1/2. f C -f C 1/2 ECPE 364: view o Small-Carrier Amplitude Modulation his handout is a graphical review o small-carrier amplitude modulation techniques that we studied in class. A Note on Complex Signal Spectra All o the

More information

Introduction to OFDM. Characteristics of OFDM (Orthogonal Frequency Division Multiplexing)

Introduction to OFDM. Characteristics of OFDM (Orthogonal Frequency Division Multiplexing) Introduction to OFDM Characteristics o OFDM (Orthogonal Frequency Division Multiplexing Parallel data transmission with very long symbol duration - Robust under multi-path channels Transormation o a requency-selective

More information

Signals and Systems II

Signals and Systems II 1 To appear in IEEE Potentials Signals and Systems II Part III: Analytic signals and QAM data transmission Jerey O. Coleman Naval Research Laboratory, Radar Division This six-part series is a mini-course,

More information

Electrical & Computer Engineering Technology

Electrical & Computer Engineering Technology Electrical & Computer Engineering Technology EET 419C Digital Signal Processing Laboratory Experiments by Masood Ejaz Experiment # 1 Quantization of Analog Signals and Calculation of Quantized noise Objective:

More information

Software Defined Radio Forum Contribution

Software Defined Radio Forum Contribution Committee: Technical Sotware Deined Radio Forum Contribution Title: VITA-49 Drat Speciication Appendices Source Lee Pucker SDR Forum 604-828-9846 Lee.Pucker@sdrorum.org Date: 7 March 2007 Distribution:

More information

ECEN 5014, Spring 2013 Special Topics: Active Microwave Circuits and MMICs Zoya Popovic, University of Colorado, Boulder

ECEN 5014, Spring 2013 Special Topics: Active Microwave Circuits and MMICs Zoya Popovic, University of Colorado, Boulder ECEN 5014, Spring 2013 Special Topics: Active Microwave Circuits and MMICs Zoya Popovic, University o Colorado, Boulder LECTURE 13 PHASE NOISE L13.1. INTRODUCTION The requency stability o an oscillator

More information

zt ( ) = Ae find f(t)=re( zt ( )), g(t)= Im( zt ( )), and r(t), and θ ( t) if z(t)=r(t) e

zt ( ) = Ae find f(t)=re( zt ( )), g(t)= Im( zt ( )), and r(t), and θ ( t) if z(t)=r(t) e Homework # Fundamentals Review Homework or EECS 562 (As needed or plotting you can use Matlab or another sotware tool or your choice) π. Plot x ( t) = 2cos(2π5 t), x ( t) = 2cos(2π5( t.25)), and x ( t)

More information

DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters

DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters Islamic University of Gaza OBJECTIVES: Faculty of Engineering Electrical Engineering Department Spring-2011 DSP Laboratory (EELE 4110) Lab#10 Finite Impulse Response (FIR) Filters To demonstrate the concept

More information

A MATLAB Model of Hybrid Active Filter Based on SVPWM Technique

A MATLAB Model of Hybrid Active Filter Based on SVPWM Technique International Journal o Electrical Engineering. ISSN 0974-2158 olume 5, Number 5 (2012), pp. 557-569 International Research Publication House http://www.irphouse.com A MATLAB Model o Hybrid Active Filter

More information

ELEC3104: Digital Signal Processing Session 1, 2013

ELEC3104: Digital Signal Processing Session 1, 2013 ELEC3104: Digital Signal Processing Session 1, 2013 The University of New South Wales School of Electrical Engineering and Telecommunications LABORATORY 4: DIGITAL FILTERS INTRODUCTION In this laboratory,

More information

The University of Texas at Austin Dept. of Electrical and Computer Engineering Midterm #1

The University of Texas at Austin Dept. of Electrical and Computer Engineering Midterm #1 The University of Texas at Austin Dept. of Electrical and Computer Engineering Midterm #1 Date: October 18, 2013 Course: EE 445S Evans Name: Last, First The exam is scheduled to last 50 minutes. Open books

More information

Lock-In Amplifiers SR510 and SR530 Analog lock-in amplifiers

Lock-In Amplifiers SR510 and SR530 Analog lock-in amplifiers Lock-In Ampliiers SR510 and SR530 Analog lock-in ampliiers SR510/SR530 Lock-In Ampliiers 0.5 Hz to 100 khz requency range Current and voltage inputs Up to 80 db dynamic reserve Tracking band-pass and line

More information

SILICON DESIGNS, INC Model 1010 DIGITAL ACCELEROMETER

SILICON DESIGNS, INC Model 1010 DIGITAL ACCELEROMETER SILICON DESIGNS, INC Model 1010 DIGITAL ACCELEROMETER CAPACITIVE DIGITAL OUTPUT WIDE TEMPERATURE RANGE SURFACE MOUNT PACKAGE FEATURES Digital Pulse Density Output Low Power Consumption -55 to +125 (C Operation

More information

The Research of Electric Energy Measurement Algorithm Based on S-Transform

The Research of Electric Energy Measurement Algorithm Based on S-Transform International Conerence on Energy, Power and Electrical Engineering (EPEE 16 The Research o Electric Energy Measurement Algorithm Based on S-Transorm Xiyang Ou1,*, Bei He, Xiang Du1, Jin Zhang1, Ling Feng1,

More information

Cyclostationarity-Based Spectrum Sensing for Wideband Cognitive Radio

Cyclostationarity-Based Spectrum Sensing for Wideband Cognitive Radio 9 International Conerence on Communications and Mobile Computing Cyclostationarity-Based Spectrum Sensing or Wideband Cognitive Radio Qi Yuan, Peng Tao, Wang Wenbo, Qian Rongrong Wireless Signal Processing

More information

Final Exam Solutions June 7, 2004

Final Exam Solutions June 7, 2004 Name: Final Exam Solutions June 7, 24 ECE 223: Signals & Systems II Dr. McNames Write your name above. Keep your exam flat during the entire exam period. If you have to leave the exam temporarily, close

More information

Estimation and Compensation of IQ-Imbalances in Direct Down Converters

Estimation and Compensation of IQ-Imbalances in Direct Down Converters Estimation and Compensation o IQ-Imbalances in irect own Converters NRES PSCHT, THOMS BITZER and THOMS BOHN lcatel SEL G, Holderaeckerstrasse 35, 7499 Stuttgart GERMNY bstract: - In this paper, a new method

More information

EXPERIMENT 7 NEGATIVE FEEDBACK and APPLICATIONS

EXPERIMENT 7 NEGATIVE FEEDBACK and APPLICATIONS PH315 A. La osa EXPEIMENT 7 NEGATIE FEEDBACK and APPLICATIONS I. PUPOSE: To use various types o eedback with an operational ampliier. To build a gaincontrolled ampliier, an integrator, and a dierentiator.

More information

Solid State Relays & Its

Solid State Relays & Its Solid State Relays & Its Applications Presented By Dr. Mostaa Abdel-Geliel Course Objectives Know new techniques in relay industries. Understand the types o static relays and its components. Understand

More information

OSCILLATORS. Introduction

OSCILLATORS. Introduction OSILLATOS Introduction Oscillators are essential components in nearly all branches o electrical engineering. Usually, it is desirable that they be tunable over a speciied requency range, one example being

More information

ISSUE: April Fig. 1. Simplified block diagram of power supply voltage loop.

ISSUE: April Fig. 1. Simplified block diagram of power supply voltage loop. ISSUE: April 200 Why Struggle with Loop ompensation? by Michael O Loughlin, Texas Instruments, Dallas, TX In the power supply design industry, engineers sometimes have trouble compensating the control

More information

Lousy Processing Increases Energy Efficiency in Massive MIMO Systems

Lousy Processing Increases Energy Efficiency in Massive MIMO Systems 1 Lousy Processing Increases Energy Eiciency in Massive MIMO Systems Sara Gunnarsson, Micaela Bortas, Yanxiang Huang, Cheng-Ming Chen, Liesbet Van der Perre and Ove Edors Department o EIT, Lund University,

More information

Analog ó Digital Conversion Sampled Data Acquisition Systems Discrete Sampling and Nyquist Digital to Analog Conversion Analog to Digital Conversion

Analog ó Digital Conversion Sampled Data Acquisition Systems Discrete Sampling and Nyquist Digital to Analog Conversion Analog to Digital Conversion Today Analog ó Digital Conversion Sampled Data Acquisition Systems Discrete Sampling and Nyquist Digital to Analog Conversion Analog to Digital Conversion Analog Digital Analog Beneits o digital systems

More information

Functional Description of Algorithms Used in Digital Receivers

Functional Description of Algorithms Used in Digital Receivers > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 1 Functional Description o Algorithms Used in Digital Receivers John Musson #, Old Dominion University, Norolk, VA

More information

ENSC327 Communications Systems 5: Frequency Translation (3.6) and Superhet Receiver (3.9)

ENSC327 Communications Systems 5: Frequency Translation (3.6) and Superhet Receiver (3.9) ENSC327 Communications Systems 5: Frequency Translation (3.6) and Superhet Receiver (3.9) Jie Liang School o Engineering Science Simon Fraser University 1 Outline Frequency translation (page 128) Superhet

More information

Fundamentals of Spectrum Analysis. Christoph Rauscher

Fundamentals of Spectrum Analysis. Christoph Rauscher Fundamentals o Spectrum nalysis Christoph Rauscher Christoph Rauscher Volker Janssen, Roland Minihold Fundamentals o Spectrum nalysis Rohde & Schwarz GmbH & Co. KG, 21 Mühldorstrasse 15 81671 München Germany

More information

Signal Processing. Introduction

Signal Processing. Introduction Signal Processing 0 Introduction One of the premiere uses of MATLAB is in the analysis of signal processing and control systems. In this chapter we consider signal processing. The final chapter of the

More information

CHAPTER 14. Introduction to Frequency Selective Circuits

CHAPTER 14. Introduction to Frequency Selective Circuits CHAPTER 14 Introduction to Frequency Selective Circuits Frequency-selective circuits Varying source frequency on circuit voltages and currents. The result of this analysis is the frequency response of

More information

A technique for noise measurement optimization with spectrum analyzers

A technique for noise measurement optimization with spectrum analyzers Preprint typeset in JINST style - HYPER VERSION A technique or noise measurement optimization with spectrum analyzers P. Carniti a,b, L. Cassina a,b, C. Gotti a,b, M. Maino a,b and G. Pessina a,b a INFN

More information

Experiment 02: Amplitude Modulation

Experiment 02: Amplitude Modulation ECE316, Experiment 02, 2017 Communications Lab, University of Toronto Experiment 02: Amplitude Modulation Bruno Korst - bkf@comm.utoronto.ca Abstract In this second laboratory experiment, you will see

More information

A Detailed Lesson on Operational Amplifiers - Negative Feedback

A Detailed Lesson on Operational Amplifiers - Negative Feedback 07 SEE Mid tlantic Section Spring Conerence: Morgan State University, Baltimore, Maryland pr 7 Paper ID #0849 Detailed Lesson on Operational mpliiers - Negative Feedback Dr. Nashwa Nabil Elaraby, Pennsylvania

More information

Chapter 6: Introduction to Digital Communication

Chapter 6: Introduction to Digital Communication 93 Chapter 6: Introduction to Digital Communication 6.1 Introduction In the context o this course, digital communications include systems where relatively high-requency analog carriers are modulated y

More information

Outline. Wireless Networks (PHY): Design for Diversity. Admin. Outline. Page 1. Recap: Impact of Channel on Decisions. [hg(t) + w(t)]g(t)dt.

Outline. Wireless Networks (PHY): Design for Diversity. Admin. Outline. Page 1. Recap: Impact of Channel on Decisions. [hg(t) + w(t)]g(t)dt. Wireless Networks (PHY): Design or Diversity Admin and recap Design or diversity Y. Richard Yang 9/2/212 2 Admin Assignment 1 questions Assignment 1 oice hours Thursday 3-4 @ AKW 37A Channel characteristics

More information

DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters

DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters DSP First Lab 08: Frequency Response: Bandpass and Nulling Filters Pre-Lab and Warm-Up: You should read at least the Pre-Lab and Warm-up sections of this lab assignment and go over all exercises in the

More information

Optimizing Reception Performance of new UWB Pulse shape over Multipath Channel using MMSE Adaptive Algorithm

Optimizing Reception Performance of new UWB Pulse shape over Multipath Channel using MMSE Adaptive Algorithm IOSR Journal o Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 05, Issue 01 (January. 2015), V1 PP 44-57 www.iosrjen.org Optimizing Reception Perormance o new UWB Pulse shape over Multipath

More information

The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam

The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam The University of Texas at Austin Dept. of Electrical and Computer Engineering Final Exam Date: December 18, 2017 Course: EE 313 Evans Name: Last, First The exam is scheduled to last three hours. Open

More information

Multirate Digital Signal Processing

Multirate Digital Signal Processing Multirate Digital Signal Processing Basic Sampling Rate Alteration Devices Up-sampler - Used to increase the sampling rate by an integer factor Down-sampler - Used to increase the sampling rate by an integer

More information

Project 2 - Speech Detection with FIR Filters

Project 2 - Speech Detection with FIR Filters Project 2 - Speech Detection with FIR Filters ECE505, Fall 2015 EECS, University of Tennessee (Due 10/30) 1 Objective The project introduces a practical application where sinusoidal signals are used to

More information

Page 1. Telecommunication Electronics TLCE - A1 03/05/ DDC 1. Politecnico di Torino ICT School. Lesson A1

Page 1. Telecommunication Electronics TLCE - A1 03/05/ DDC 1. Politecnico di Torino ICT School. Lesson A1 Politecnico di Torino ICT School Lesson A1 A1 Telecommunication Electronics Radio systems architecture» Basic radio systems» Image rejection» Digital and SW radio» Functional units Basic radio systems

More information

ECE5984 Orthogonal Frequency Division Multiplexing and Related Technologies Fall Mohamed Essam Khedr. Channel Estimation

ECE5984 Orthogonal Frequency Division Multiplexing and Related Technologies Fall Mohamed Essam Khedr. Channel Estimation ECE5984 Orthogonal Frequency Division Multiplexing and Related Technologies Fall 2007 Mohamed Essam Khedr Channel Estimation Matlab Assignment # Thursday 4 October 2007 Develop an OFDM system with the

More information

3.2 Measuring Frequency Response Of Low-Pass Filter :

3.2 Measuring Frequency Response Of Low-Pass Filter : 2.5 Filter Band-Width : In ideal Band-Pass Filters, the band-width is the frequency range in Hz where the magnitude response is at is maximum (or the attenuation is at its minimum) and constant and equal

More information

Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations are next mon in 1311EECS.

Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations are next mon in 1311EECS. Lecture 8 Today: Announcements: References: FIR filter design IIR filter design Filter roundoff and overflow sensitivity Team proposals are due tomorrow at 6PM Homework 4 is due next thur. Proposal presentations

More information

Determination of Pitch Range Based on Onset and Offset Analysis in Modulation Frequency Domain

Determination of Pitch Range Based on Onset and Offset Analysis in Modulation Frequency Domain Determination o Pitch Range Based on Onset and Oset Analysis in Modulation Frequency Domain A. Mahmoodzadeh Speech Proc. Research Lab ECE Dept. Yazd University Yazd, Iran H. R. Abutalebi Speech Proc. Research

More information

EECS 452 Midterm Closed book part Winter 2013

EECS 452 Midterm Closed book part Winter 2013 EECS 452 Midterm Closed book part Winter 2013 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Closed book

More information

ECE 5675 Digital PLL Laboratory Experiment

ECE 5675 Digital PLL Laboratory Experiment ECE 5675 Digital PLL Laboratory Experiment 1 Introduction The purpose of this laboratory exercise is to design, build, and experimentally characterize a second order digital phase-lock loop (DPLL). The

More information

Multirate DSP, part 1: Upsampling and downsampling

Multirate DSP, part 1: Upsampling and downsampling Multirate DSP, part 1: Upsampling and downsampling Li Tan - April 21, 2008 Order this book today at www.elsevierdirect.com or by calling 1-800-545-2522 and receive an additional 20% discount. Use promotion

More information

Optimization and implementation of a multi-level buck converter for standard CMOS on-chip integration

Optimization and implementation of a multi-level buck converter for standard CMOS on-chip integration International Workshop on Power Supply On Chip September 22nd - 24th, 2008, Cork, Ireland Optimization and implementation o a multi-level buck converter or standard CMOS on-chip integration Vahid Yousezadeh,

More information

Validation of a crystal detector model for the calibration of the Large Signal Network Analyzer.

Validation of a crystal detector model for the calibration of the Large Signal Network Analyzer. Instrumentation and Measurement Technology Conerence IMTC 2007 Warsaw, Poland, May 1-3, 2007 Validation o a crystal detector model or the calibration o the Large Signal Network Analyzer. Liesbeth Gommé,

More information

Fatigue Life Assessment Using Signal Processing Techniques

Fatigue Life Assessment Using Signal Processing Techniques Fatigue Lie Assessment Using Signal Processing Techniques S. ABDULLAH 1, M. Z. NUAWI, C. K. E. NIZWAN, A. ZAHARIM, Z. M. NOPIAH Engineering Faculty, Universiti Kebangsaan Malaysia 43600 UKM Bangi, Selangor,

More information

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet

ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet ELEC-C5230 Digitaalisen signaalinkäsittelyn perusteet Lecture 10: Summary Taneli Riihonen 16.05.2016 Lecture 10 in Course Book Sanjit K. Mitra, Digital Signal Processing: A Computer-Based Approach, 4th

More information

ICT 5305 Mobile Communications. Lecture - 3 April Dr. Hossen Asiful Mustafa

ICT 5305 Mobile Communications. Lecture - 3 April Dr. Hossen Asiful Mustafa ICT 5305 Mobile Communications Lecture - 3 April 2016 Dr. Hossen Asiul Mustaa Advanced Phase Shit Keying Q BPSK (Binary Phase Shit Keying): bit value 0: sine wave bit value 1: inverted sine wave very simple

More information

Digital Signal Processing 2/ Advanced Digital Signal Processing Lecture 11, Complex Signals and Filters, Hilbert Transform Gerald Schuller, TU Ilmenau

Digital Signal Processing 2/ Advanced Digital Signal Processing Lecture 11, Complex Signals and Filters, Hilbert Transform Gerald Schuller, TU Ilmenau Digital Signal Processing 2/ Advanced Digital Signal Processing Lecture 11, Complex Signals and Filters, Hilbert Transform Gerald Schuller, TU Ilmenau Imagine we would like to know the precise, instantaneous,

More information

MFCC-based perceptual hashing for compressed domain of speech content identification

MFCC-based perceptual hashing for compressed domain of speech content identification Available online www.jocpr.com Journal o Chemical and Pharmaceutical Research, 014, 6(7):379-386 Research Article ISSN : 0975-7384 CODEN(USA) : JCPRC5 MFCC-based perceptual hashing or compressed domain

More information

GEORGIA INSTITUTE OF TECHNOLOGY. SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters

GEORGIA INSTITUTE OF TECHNOLOGY. SCHOOL of ELECTRICAL and COMPUTER ENGINEERING. ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL and COMPUTER ENGINEERING ECE 2026 Summer 2018 Lab #8: Filter Design of FIR Filters Date: 19. Jul 2018 Pre-Lab: You should read the Pre-Lab section of

More information

Complex RF Mixers, Zero-IF Architecture, and Advanced Algorithms: The Black Magic in Next-Generation SDR Transceivers

Complex RF Mixers, Zero-IF Architecture, and Advanced Algorithms: The Black Magic in Next-Generation SDR Transceivers Complex RF Mixers, Zero-F Architecture, and Advanced Algorithms: The Black Magic in Next-Generation SDR Transceivers By Frank Kearney and Dave Frizelle Share on ntroduction There is an interesting interaction

More information

( ) D. An information signal x( t) = 5cos( 1000πt) LSSB modulates a carrier with amplitude A c

( ) D. An information signal x( t) = 5cos( 1000πt) LSSB modulates a carrier with amplitude A c An inormation signal x( t) 5cos( 1000πt) LSSB modulates a carrier with amplitude A c 1. This signal is transmitted through a channel with 30 db loss. It is demodulated using a synchronous demodulator.

More information

UNIVERSITY OF SWAZILAND

UNIVERSITY OF SWAZILAND UNIVERSITY OF SWAZILAND MAIN EXAMINATION, MAY 2013 FACULTY OF SCIENCE AND ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING TITLE OF PAPER: INTRODUCTION TO DIGITAL SIGNAL PROCESSING COURSE

More information

Noise Removal from ECG Signal and Performance Analysis Using Different Filter

Noise Removal from ECG Signal and Performance Analysis Using Different Filter International Journal o Innovative Research in Electronics and Communication (IJIREC) Volume. 1, Issue 2, May 214, PP.32-39 ISSN 2349-442 (Print) & ISSN 2349-45 (Online) www.arcjournal.org Noise Removal

More information

Study Guide for the First Exam

Study Guide for the First Exam Study Guide or the First Exam Chemistry 838 Fall 27 T V Atkinson Department o Chemistry Michigan State Uniersity East Lansing, MI 48824 Table o Contents Table o Contents...1 Table o Tables...1 Table o

More information

Power amplifiers and the use of pulse modulation

Power amplifiers and the use of pulse modulation Power ampliiers and the use o pulse modulation Ampliier types Voltage ampliication urrent ampliication (buer, driver) Power ampliication Ampliier characteristics Single sided power supply Double sided

More information

ENGR-4300 Spring 2008 Test 4. Name SOLUTION. Section 1(MR 8:00) 2(TF 2:00) 3(MR 6:00) (circle one) Question I (24 points) Question II (16 points)

ENGR-4300 Spring 2008 Test 4. Name SOLUTION. Section 1(MR 8:00) 2(TF 2:00) 3(MR 6:00) (circle one) Question I (24 points) Question II (16 points) ENGR-4300 Spring 2008 Test 4 Name SOLUTION Section 1(MR 8:00) 2(TF 2:00) 3(MR 6:00) (circle one) Question I (24 points) Question II (16 points) Question III (15 points) Question IV (20 points) Question

More information

Potentiostat stability mystery explained

Potentiostat stability mystery explained Application Note #4 Potentiostat stability mystery explained I- Introduction As the vast majority o research instruments, potentiostats are seldom used in trivial experimental conditions. But potentiostats

More information

Problem Point Value Your score Topic 1 28 Discrete-Time Filter Analysis 2 24 Upconversion 3 30 Filter Design 4 18 Potpourri Total 100

Problem Point Value Your score Topic 1 28 Discrete-Time Filter Analysis 2 24 Upconversion 3 30 Filter Design 4 18 Potpourri Total 100 The University of Texas at Austin Dept. of Electrical and Computer Engineering Midterm #1 Date: October 17, 2014 Course: EE 445S Evans Name: Last, First The exam is scheduled to last 50 minutes. Open books

More information

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters FIR Filter Design Chapter Intended Learning Outcomes: (i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters (ii) Ability to design linear-phase FIR filters according

More information

Window Method. designates the window function. Commonly used window functions in FIR filters. are: 1. Rectangular Window:

Window Method. designates the window function. Commonly used window functions in FIR filters. are: 1. Rectangular Window: Window Method We have seen that in the design of FIR filters, Gibbs oscillations are produced in the passband and stopband, which are not desirable features of the FIR filter. To solve this problem, window

More information

An image rejection re-configurable multi-carrier 3G base-station transmitter

An image rejection re-configurable multi-carrier 3G base-station transmitter An image rejection reconigurable multicarrier 3G basestation transmitter Dimitrios Estathiou Analog Devices, 79 Triad Center Drive, Greensboro, NC 2749, USA email: dimitrios.estathiou@analog.com ABSTRACT

More information

Outline. Wireless PHY: Modulation and Demodulation. Admin. Page 1. g(t)e j2πk t dt. G[k] = 1 T. G[k] = = k L. ) = g L (t)e j2π f k t dt.

Outline. Wireless PHY: Modulation and Demodulation. Admin. Page 1. g(t)e j2πk t dt. G[k] = 1 T. G[k] = = k L. ) = g L (t)e j2π f k t dt. Outline Wireless PHY: Modulation and Demodulation Y. Richard Yang Admin and recap Basic concepts o modulation Amplitude demodulation requency shiting 09/6/202 2 Admin First assignment to be posted by this

More information

Study Guide for the First Exam

Study Guide for the First Exam Study Guide or the First Exam Chemistry 838 Fall 005 T V Atkinson Department o Chemistry Michigan State Uniersity East Lansing, MI 4884 The leel o knowledge and detail expected or the exam is that o the

More information

Quiz 2A EID Page 1. First: Last: (5) Question 1. Put your answer A, B, C, D, E, or F in the box. (7) Question 2. Design a circuit

Quiz 2A EID Page 1. First: Last: (5) Question 1. Put your answer A, B, C, D, E, or F in the box. (7) Question 2. Design a circuit Quiz 2A EID Page 1 First: Last: (5) Question 1. Put your answer A, B, C, D, E, or F in the box. (7) Question 2. Design a circuit (7) Question 3. Show your equations and the final calculation. (5) Question

More information

Sampling and Reconstruction of Analog Signals

Sampling and Reconstruction of Analog Signals Sampling and Reconstruction of Analog Signals Chapter Intended Learning Outcomes: (i) Ability to convert an analog signal to a discrete-time sequence via sampling (ii) Ability to construct an analog signal

More information

ADAPTIVE LINE DIFFERENTIAL PROTECTION ENHANCED BY PHASE ANGLE INFORMATION

ADAPTIVE LINE DIFFERENTIAL PROTECTION ENHANCED BY PHASE ANGLE INFORMATION ADAPTIVE INE DIEENTIA POTECTION ENHANCED BY PHASE ANGE INOMATION Youyi I Jianping WANG Kai IU Ivo BNCIC hanpeng SHI ABB Sweden ABB Sweden ABB China ABB Sweden ABB - Sweden youyi.li@se.abb.com jianping.wang@se.abb.com

More information

SENSITIVITY IMPROVEMENT IN PHASE NOISE MEASUREMENT

SENSITIVITY IMPROVEMENT IN PHASE NOISE MEASUREMENT SENSITIVITY IMROVEMENT IN HASE NOISE MEASUREMENT N. Majurec, R. Nagy and J. Bartolic University o Zagreb, Faculty o Electrical Engineering and Computing Unska 3, HR-10000 Zagreb, Croatia Abstract: An automated

More information

DIGITAL COMMUNICATION. In this experiment you will integrate blocks representing communication system

DIGITAL COMMUNICATION. In this experiment you will integrate blocks representing communication system OBJECTIVES EXPERIMENT 7 DIGITAL COMMUNICATION In this experiment you will integrate blocks representing communication system elements into a larger framework that will serve as a model for digital communication

More information

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet EE25266 ASIC/FPGA Chip Design Mahdi Shabany Electrical Engineering Department Sharif University of Technology Assignment #8 Designing a FIR Filter, FPGA in the Loop, Ethernet Introduction In this lab,

More information

DSP First. Laboratory Exercise #7. Everyday Sinusoidal Signals

DSP First. Laboratory Exercise #7. Everyday Sinusoidal Signals DSP First Laboratory Exercise #7 Everyday Sinusoidal Signals This lab introduces two practical applications where sinusoidal signals are used to transmit information: a touch-tone dialer and amplitude

More information

A new zoom algorithm and its use in frequency estimation

A new zoom algorithm and its use in frequency estimation Waves Wavelets Fractals Adv. Anal. 5; :7 Research Article Open Access Manuel D. Ortigueira, António S. Serralheiro, and J. A. Tenreiro Machado A new zoom algorithm and its use in requency estimation DOI.55/wwaa-5-

More information

Frequency Modulation and Demodulation

Frequency Modulation and Demodulation Frequency Modulation and Demodulation November 2, 27 This lab is divided into two parts. In Part I you will learn how to design an FM modulator and in Part II you will be able to demodulate an FM signal.

More information

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters

(i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters FIR Filter Design Chapter Intended Learning Outcomes: (i) Understanding of the characteristics of linear-phase finite impulse response (FIR) filters (ii) Ability to design linear-phase FIR filters according

More information

Spread-Spectrum Technique in Sigma-Delta Modulators

Spread-Spectrum Technique in Sigma-Delta Modulators Spread-Spectrum Technique in Sigma-Delta Modulators by Eric C. Moule Submitted in Partial Fulillment o the Requirements or the Degree Doctor o Philosophy Supervised by Proessor Zeljko Ignjatovic Department

More information

DSP APPLICATION TO THE PORTABLE VIBRATION EXCITER

DSP APPLICATION TO THE PORTABLE VIBRATION EXCITER DSP PPLICTION TO THE PORTBLE VIBRTION EXCITER W. Barwicz 1, P. Panas 1 and. Podgórski 2 1 Svantek Ltd., 01-410 Warsaw, Poland Institute o Radioelectronics, Faculty o Electronics and Inormation Technology

More information

Low-bit Conversion & Noise Shaping

Low-bit Conversion & Noise Shaping Low-bit Conversion & Noise Shaping Preace Noise Shaping Mathematical basis Tricks or improving perormance Use o noise shaping pplication in D/ conversion pplication in /D conversion &

More information

ELEC207 Linear Integrated Circuits

ELEC207 Linear Integrated Circuits University o Nizwa Faculty o Engineering and Architecture Electrical and omputer Engineering ELE07 Linear Integrated ircuits Week 8 Ate Abu alim Fall 05/06 0/5/05 FILTE A ilter is a device that passes

More information

Discrete Fourier Transform (DFT)

Discrete Fourier Transform (DFT) Amplitude Amplitude Discrete Fourier Transform (DFT) DFT transforms the time domain signal samples to the frequency domain components. DFT Signal Spectrum Time Frequency DFT is often used to do frequency

More information

E Final Exam Solutions page 1/ gain / db Imaginary Part

E Final Exam Solutions page 1/ gain / db Imaginary Part E48 Digital Signal Processing Exam date: Tuesday 242 Final Exam Solutions Dan Ellis . The only twist here is to notice that the elliptical filter is actually high-pass, since it has

More information