FPGA BASED WAVEFORM DESIGN TECHNIQUES FOR SOFTWARE DEFINED RADIOS

Size: px
Start display at page:

Download "FPGA BASED WAVEFORM DESIGN TECHNIQUES FOR SOFTWARE DEFINED RADIOS"

Transcription

1 FPGA BASED WAVEFORM DESIG TECHIQUES FOR SOFTWARE DEFIED RADIOS Steven W. Cox (General Dynamics Decision Systems, 80 E. Roosevelt, Scottsdale, AZ, ABSTRACT Design techniques are presented for FPGA based waveforms on Software Defined Radios (SDRs). The benefits of using these techniquies will be highlighted. This paper assumes that FPGAs will be the target processor for all real time signal processing of a waveform. The role of software processors will also be analyzed with respect to the FPGA based waveform. The techniques presented in this paper are the result of internal research and development for General Dynamics wideband modem development. waveform development, the FPGA has been sucessfully exploited to cover areas where high-speed and low latency signal processing is demanded. FPGA waveform design flow has many trade-offs and the types and efficiency of different design flows will be presented. FGPA integration flow also has benefits and limitations that will be identified. Quantization and optimization techniques for FPGA utilization will be shown for waveform development. Multi-Mode and Multi-waveform FPGA designs will also be discussed. FPGA waveform interfacing methods will be discussed as a means for communication between various system processors. Various partitioning methods of control/data processing vs. signal processing will also be shown.. ITRODUCTIO Software Defined Radios (SDRs) are mainly composed of two distinct entities: The hardware platform and the software application. The applications are known as waveforms and can be implemented in either real-time software, FPGA logic, or a combination of both. Regardless of implementation, the radio system is defined by the waveform running on the platform.. FPGA BASED PLATFORM ARCHITECTURES order to implement FPGA Based Waveforms efficiently, the SDR platform architecture must be appropriately defined. Many SDR platforms contain a mix of FPGA, Digital Processor (DSP), General Purpose Processor (GPP), and ASICs. These mixed architectures tend to offer the waveform designer a nonhomogenous development environment. Hence, many different disciplines and interfacing challenges exist that impede the development of real-time waveforms. To remedy this situation, the layout shown in Figure may be used. Here, FPGAs are the central real-time processing machines connected together with high speed data buses. Sparse GPPs are used for control and non-real time processing. This philosophy is similar to that of the PC computer, which uses a GPP to interface with the user while it controls dedicated hardware such as modems, video display cards, audio cards, DVD players, and other real-time processing peripherals. Another reason for selecting such architecture is that software programming is most easily done for non-real time tasks. Again, the PC computer is an excellent example of this point. It demonstrates a software controlled system rather than a software defined one. Finally, there are some very good benefits from choosing an all FPGA based platform. These benefits include: low-latency, high speed, parallel processing, real time synchronization on a sample by sample basis, and real time telemetry monitoring. Embedded Microcontrollers and on-chip GPPs are available inside FPGAs and can be used for control and non-real time processing in addition to external GPPs. Military systems require separation of Black and Red. This is usually controlled by the SA and therefore separate hardware devices are needed for the security section of the platform. Also, FPGA Based Waveforms are easily made Software Computer Architecture (SCA) compliant. A simple software interface object to FPGA is required to encapsulate the FPGA functions.

2 External RF Ethernet ( Total) RF Black Presel Tx or Rx Modem GPPs Dual Bridge WIM Fabric Security Red RED Host FPGAs Switch AIM Tx Direct FPGAs DSP RF Spc GPPs Filter Spare IF Tx DACs Amps Rx Convert ADCs BPP RPP Hard Drive Audio/ Video FPGAs DSP and CDC RS RS 4 4 Red Ethernet Red Digital Red Audio Computational pipeline data Overhead & Control Figure. FPGA Based System Architecture. W A V E F O R M F U C T I O S Modem Section (Black Side) Tx FPGA Rx FPGA GPP (Black) Pulse Shaping Matched Transec/ Filtering Comsec Key Management Spreading De-Spreading Mode Switching control Baseband Modulation IF Upconvert/ Filtering Baseband Demodulation IF Downconvert/ Filtering Presets Human Machine terface Circuit Routing Security Section (Red Side) Tx/Rx GPP FPGA (Red) Comsec Control Encoder/ ter- Decoder face Transec Variable Generator Audio/Video Section (Red Side) Tx/Rx FPGA GPP (Red) Vocoder Control terface Audio Filter Virtual Serial Rate Converter Tx Hop Timing/ Synchronization Rx Hop Timing/ Synchronization Video Compression OFDM Tx OFDM Rx Speech Timing Timing Recognition FEC Encoder FEC Decoder Image Processing terleaving Denterleaving User Identification Tx Hop Controller/ Waveform Clocks Rx Hop Controller/ Waveform Clocks Virtual CODEC MIMO Channelization Audio AGC Synthesizer Beam forming Rake Rx Table. Partitioning of FPGA Based Waveform Functions Across the Platform Architecture Circuit Routing etworking (Red Side) GPP (Red) ternet Protocol Stack Ad-hoc etworking Protocol Wireless IP Protocol Stack

3 3. FPGA WAVEFORM PARTITIOIG AD ITERFACIG One of the first steps in the waveform design process is to partition the various waveform functions across the platform. Since we have chosen to use only FPGAs and GPPs, this partitioning is made easier. Table contains a listing of commonly used waveform functions divided into each section of the FPGA based platform. Some partitioning trade-offs exist between Modem FPGA and Audio/Video FPGA depending if security is needed. For non-secure waveform modes, audio filtering and conditioning may be done in the modem section closer to the modulation/demodulation functions, or it could be performed in the audio/video section closer to the source/sink. Another area where partitioning trade-offs exist is between RF and Modem sections. Such functions as RF AGC and selectivity filtering can be allocated in either domain depending on the amount of digital processing. terfacing the different platform sections can be done in a variety of ways depending on the data speed and flexibility requirements of the platform. some cases, it may be required to have each modem FPGA have full access to each RF section through the use of a fabric switch []. Dedicated or multiplexed direct hardwire connections can also be used to connect FPGAs with other hardware devices. A key difference between DSP processor (serial) based platforms and FPGA (parallel) based platforms lies in the routing of data. For DSP processor platforms the data must be packetized and the exact time of each data sample is not known unless costly time-stamping is applied. This idea is contrary to the concept of FPGA based waveforms. Ideally, the data processed by the FPGA can be modeled sufficiently such that transfer between FPGAs is well defined and synchronized on a sample-bysample basis. One advantage of FPGA based processing is that colocation of speed intensive functions such as FFTs may be multiplexed or shared in the same FPGA. This dramatically saves resources compared with running several FFTs in different processors and having to communicate between them. Let us extend this philosophy a bit further by suggesting that other memoryless waveform functions such as certain modulators/demodulators can be multiplexed within a given FPGA. Functions with memory could also be multiplexed such as FIR filters but some additional resources would be needed to store coefficients and tap values. 4. MULTI-MODE AD MULTI-WAVEFORM FPGA DESIG Many current and future waveforms have multiple modes of operation. These modes include combinations of the following: plain text (PT), cipher text (CT), single-channel (SC), frequency-hopped (FH), Voice,, TDMA, OFDM, FEC, DS spread spectrum, and various modulation types. Multi-Mode waveforms require that a single instance of the waveform application be able to switch between various modes upon user command within several hundred mili-seconds in most cases. To accomplish this within an FPGA(s), either serial or parallel implementations may be considered. The most straightforward approach is the parallel Multi-Mode Waveform Model shown in Figure. Here all of the functions needed for the various modes are designed and implemented at the same time in the FPGA. When a different mode is selected, certain functions are bypassed and others brought in-line. The advantage to this approach is that the design cycle is short. The disadvantage is that more FPGA resources are consumed than are needed for any given one mode. An alternative to the parallel approach is the serial multiplexed scheme. This method is depicted in Figure 3. Here a core library of waveform functions is designed and placed in a repository on the FPGA. A core controller is then used to multiplex the functions between different waveform application cores or modes. effect, the core library can be thought of as a group of subroutines that get called whenever a waveform application needs the service. The core controller management complexity is a disadvantage of this scheme however; the savings in resources may outweigh this cost. Multi-Waveform FPGA design is a challenging area. The driving requirements in this area are the number of simultaneous waveform applications per FPGA and the ability to Swap applications on the fly. Three approaches will be described for handling these requirements:.) Dynamic Reconfiguration,.) Static Partitioned Waveforms, and 3.) Shared Core Library The first approach deals with Dynamic Reconfiguration, which is also known as dynamic partial reconfiguration. This off-the-shelf approach allows swapping applications in and out of the same FPGA without disturbing any applications that are already running. Details are specified in [] for implementing Dynamic Reconfiguration however, not many FPGA developers are reported to have used this method and it may take more users for this to be a useful technique of multi-waveform design. Dynamic Reconfiguration does not affect nor can it be influenced by, the FPGA design flow since it is a manipulation of the compiled source code.

4 The second multi-waveform approach is that of Static Partitioned Waveforms. This is shown in Figure 4. Here, multiple waveform cores are designed into a single FPGA and run simultaneously, however, they cannot be swapped out unless each application has its own FPGA. The third approach to multi-waveform design uses a shared core library that is also useful for multi-mode waveforms within a multi-waveform FPGA. This was introduced in Figure 3 and is elaborated on in Figure 5 for the multi-waveform scenarios. put Waveform Waveform Multiport Switch put put QPSK Demod Viterbi Decoder put Waveform 3 Figure 4. Parallel Multi-Waveform Model. FSK Demod Reed Solomon Decoder Multiport Switch put CORE WAVEFORM PROCESSOR put ASK Demod Carrier Squelch put CORE WAVEFORM PROCESSOR put put Figure. Parallel Multi-Mode Waveform Model. CORE MODE PROCESSOR put 3 put3 CORE WAVEFORM PROCESSOR 3 put3 Mode Select CORE COTROLLER CORE COTROLLER CORE LIBRARY MEMORY CORE LIBRARY MEMORY Figure 5. Serial Multiplexed Multi-Waveform Model. Figure 3. Serial Multiplexed Multi-Mode Waveform Model.

5 5. FPGA WAVEFORM DESIG FLOW ew FPGA design flows [3] based on high-level, parallel, simulation environments offer code synthesis directly from a block diagram systems model. At the center of these new design flows are tools that allow access to hardware interfaces and abstract the FPGA fabric to a systems level. This gives the systems designer direct insight into the platform for which he is designing a particular function. This is made possible by the bit true and cycle true abilities of these tools. One problem with traditional design flows is that the system designer does not have insight into the implementation details of the fabric and therefore cannot best optimize the system design without lengthy interaction and written communication with implementation engineers who use low-level tools such as VHDL, Verilog, or schematic capture. An example of an FPGA based waveform done using the Xilinx System Generator in Matlab Simulink is shown in Figure 6 [4]. addition, to direct code synthesis from the systems model, the model can also serve as a systems documentation vehicle. Some tools such as Matlab Simulink support document generation from the model itself and this can be coupled with other tools such as Requisite Pro and Rational Rose to form a complete system definition and code producing model. At this time there is not a definitive link between these tools. One feature that could be added would be a way to automatically verify system requirements with modelgenerated data. For example, the system requirement may call for a certain Bit Error Rate (BER) at a given input power to the system. When the model is run, data from the BER calculation block could be mapped to a Requisite Pro requirement to mark it verified at the system design level. Other requirements that may be mapped are latency, attack/release times, acquisition times, and distortion. Another valuable feature of Matlab Simulink is the ability to do co-simulation with the target FPGA while running other Simulink components. This process involves compiling the FPGA code for the target and placing a cosimulation block in model. Then, data from the model can be routed in and out of the real-time operating block. The co-simulation block could also be part of an actual system with RF and ADC/DAC interfaces so as to allow very accurate simulation at a high level of abstraction. 6. FPGA WAVEFORM ITEGRATIO FLOW Once an FPGA based waveform has been designed, simulated, synthesized, and place/routed, the integration of the bit file with other FPGA bit files and GPP software must be done to realize the fully functioning waveform. Since most of the critical real-time functions were simulated and proven during the design flow, the risk and duration of waveform integration is greatly reduced. One advantage of using a highly accurate model to synthesize the FPGA code is that test vectors can be taken from the system under integration to compare with the model. Also, test vectors from the model may be used in DSP signal generating equipment to provide a stimulus of known data. If problems are found during integration the model must be updated and the FPGA bit file must be regenerated. This is the only disadvantage to this approach compared with DSP processor based waveforms in which coded changes can be recompiled in a shorter time. However, the risk of code errors is greatly reduced by using the FPGA design flow with accurate system models. 7. FPGA WAVEFORM QUATIZATIO During the FPGA waveform design flow, it is best to first create a floating point model using the FPGA Simulink blockset with the Override with Doubles option turned on. This can be done at the block primitive level or globally for a system or subsystem in Simulink to allow simulation of a system with 64 bit floating point precision. Once confidence is gained with the floating point model, the quantization process should begin. Each block in the model must be analyzed for fixed-point properties such as umber of Bits, Binary Point Position, Arithmetic type (Unsigned or Signed), Quantization Behavior (Round or Truncate), and Overflow Behavior (Wrap or Saturate). addition, any filter coeficients must also be analyzed for fixed piont behavior. Fixed point filter design can be done using the Matlab Filter Design and Analysis Tool (fdatool) by entering the Set Quantization Parameters window and enabling Turn quantization on. this window all of the filter arithmetic and filter coeficients can be quantized and a graph of both floating and fixed point responses are shown in the window above. By adjusting the fixed-point properties of the filter, one can visually approximate the floating point behavior for such responses as Magnitude, Phase, Group Delay, Impulse Response, Step Response, or Pole/Zero configuration. One feature that could be added to these tools is a Processing Gain Analyzer to estimate scaling and bit sizes. To do this manually involves tedious effort and is a disadvantage of the FPGA waveform approach. For example, a digital phase-locked loop contains feedback with a loop filter that can be very sensitive to dynamic range. When attempting to quantize a recursive circuit such as this, one must pay careful attention to the growth of registers and apply scaling after each multiply

6 operation. The trade-off here is that input dynamic range will dictate the maximum umber of Bits and Binary Point Position. However, since there is feedback in the loop, the input to the loop filter can change its output which inturn mixes with loop input to infuence the loop filter input. Bounds must be estimated at each stage and at each arithmetic calculation point to control the register growth or quantization error. Overall, the goal of Quantization is to approximate floating point operation with a minimum amount of FPGA resources while meeting system performance requirments. FPGA 6Mbps QPSK System fpt dbl Gateway z - teger Delay 0 P 5 Generator _ I_ Q_ QPSK Modulator I_ Q_ IF_ Digital Up Converter DAC_ DAC_ DAC_ DAC_ BenADDA DACs ADC_ ADC_ ADC_ ADC_ BenADDA ADCs IF_ I_ Q_ Digital Down Converter I_ Q_ Q_ I_ QPSK Demodulator hi xlconcat cat pxlpss lo Concat Parallel to Serial fpt dbl Gateway 6 TxError Rate RxCalculation Error Rate Calculation Bit Error Details xlusamp 0 Up Sample Sy stem Generator Figure 6. FPGA Based Waveform Model using Xilinx/Matlab Simulink Design Flow. 8. COCLUSIO this paper, concepts and techniques were given for FPGA based waveform design. An FPGA based system architecture and mapping of waveform functions was shown, suitable for future military JTRS type communications applications. Both Muti-Mode and Multi- Waveform applications were discussed in relation to FPGA based systems. An efficient design flow was overviewed along with a corresponding integration flow. Techniques for FPGA waveform quantization were given as well. As DSP computing technology migrates from the serial, instruction set architecture, von eumann machine to the parallel, system based design flow, FPGA based systems and waveforms will be an enabling technology for higher speed and more complex communication systems. The end result will be that waveforms can be developed faster with more features. 9. REFERECES [] D. Bouvier, RapidIO, The Embedded System terconnect, RapidIO Trade Association, 003. [] Xilinx Application ote XAPP90, Two Flows for Partial Reconfiguration: Module Based or Small bit Manipulations, ew York, May 7, 00. [3] Xilinx System Generator for DSP v3. Reference Guide. [4] C. H. Dick, f. j. harris, and M. Rice, Maximum likelihood carrier phase syncronization in FPGA-Based software defined radios, Acoustics, Speech, and Processing, 00. Proceedings. (ICASSP '0). 00 IEEE ternational Conference on, Volume:, 7- May 00 Page(s): vol..

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS

A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS A GENERIC ARCHITECTURE FOR SMART MULTI-STANDARD SOFTWARE DEFINED RADIO SYSTEMS S.A. Bassam, M.M. Ebrahimi, A. Kwan, M. Helaoui, M.P. Aflaki, O. Hammi, M. Fattouche, and F.M. Ghannouchi iradio Laboratory,

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Marc Barberis 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile Broadband IoT

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

An FPGA Case Study: Narrowband COFDM Video Transceiver for Drones, UAV, and UGV. Produced by EE Times

An FPGA Case Study: Narrowband COFDM Video Transceiver for Drones, UAV, and UGV. Produced by EE Times An FPGA Case Study: Narrowband COFDM Video Transceiver for Drones, UAV, and UGV #eelive Produced by EE Times An FPGA Case Study System Definition Implementation Verification and Validation CNR1 Narrowband

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Channelization and Frequency Tuning using FPGA for UMTS Baseband Application Prof. Mahesh M.Gadag Communication Engineering, S. D. M. College of Engineering & Technology, Dharwad, Karnataka, India Mr.

More information

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom

FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom FPGAs: Why, When, and How to use them (with RFNoC ) Pt. 1 Martin Braun, Nicolas Cuervo FOSDEM 2017, SDR Devroom Schematic of a typical SDR Very rough schematic: Analog Stuff ADC/DAC FPGA GPP Let s ignore

More information

SDR OFDM Waveform design for a UGV/UAV communication scenario

SDR OFDM Waveform design for a UGV/UAV communication scenario SDR OFDM Waveform design for a UGV/UAV communication scenario SDR 11-WInnComm-Europe Christian Blümm 22nd June 2011 Content Introduction Scenario Hardware Platform Waveform TDMA Designing and Testing Conclusion

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

Supplemental Slides: MIMO Testbed Development at the MPRG Lab

Supplemental Slides: MIMO Testbed Development at the MPRG Lab Supplemental Slides: MIMO Testbed Development at the MPRG Lab Raqibul Mostafa Jeffrey H. Reed Slide 1 Overview Space Time Coding (STC) Overview Virginia Tech Space Time Adaptive Radio (VT-STAR) description:

More information

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet

EE25266 ASIC/FPGA Chip Design. Designing a FIR Filter, FPGA in the Loop, Ethernet EE25266 ASIC/FPGA Chip Design Mahdi Shabany Electrical Engineering Department Sharif University of Technology Assignment #8 Designing a FIR Filter, FPGA in the Loop, Ethernet Introduction In this lab,

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS

OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 2011 OQPSK COGNITIVE MODULATOR FULLY FPGA-IMPLEMENTED VIA DYNAMIC PARTIAL RECONFIGURATION AND RAPID PROTOTYPING TOOLS Raúl Torrego (Communications department:

More information

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems Behavioral Modeling of Digital Pre-Distortion Amplifier Systems By Tim Reeves, and Mike Mulligan, The MathWorks, Inc. ABSTRACT - With time to market pressures in the wireless telecomm industry shortened

More information

Practical Use of Reconfigurable Radios in Air Combat Training Systems

Practical Use of Reconfigurable Radios in Air Combat Training Systems Your Mission Our Commitment Practical Use of Reconfigurable Radios in Air Combat Training Systems SDR 11 - WInnComm 2011 Presentation 10 February 2011 Michael Cary, DRS TCS Program Manager Mcary@drs-ds.com

More information

SCA WAVEFORM DEVELOPMENT FOR SPACE TELEMETRY

SCA WAVEFORM DEVELOPMENT FOR SPACE TELEMETRY SCA WAVEFORM DEVELOPMENT FOR SPACE TELEMETRY Dale J. Mortensen 1 (ZIN Technologies, Inc., Brook Park, Ohio, USA; dale.mortensen@zin-tech.com); Muli Kifle (NASA Glenn Research Center, Cleveland, Ohio, USA;

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

DTP4700 Next Generation Software Defined Radio Platform

DTP4700 Next Generation Software Defined Radio Platform DTP4700 Next Generation Software Defined Radio Platform Spectra DTP4700 is a wideband, high-performance baseband and RF Software Defined Radio (SDR) development and test platform. Spectra DTP4700 supports

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

Implementation of High-throughput Access Points for IEEE a/g Wireless Infrastructure LANs

Implementation of High-throughput Access Points for IEEE a/g Wireless Infrastructure LANs Implementation of High-throughput Access Points for IEEE 802.11a/g Wireless Infrastructure LANs Hussein Alnuweiri Ph.D. and Diego Perea-Vega M.A.Sc. Abstract In this paper we discuss the implementation

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

Multiplexing Module W.tra.2

Multiplexing Module W.tra.2 Multiplexing Module W.tra.2 Dr.M.Y.Wu@CSE Shanghai Jiaotong University Shanghai, China Dr.W.Shu@ECE University of New Mexico Albuquerque, NM, USA 1 Multiplexing W.tra.2-2 Multiplexing shared medium at

More information

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm Vijay Kumar Ch 1, Leelakrishna Muthyala 1, Chitra E 2 1 Research Scholar, VLSI, SRM University, Tamilnadu, India 2 Assistant Professor,

More information

Nutaq OFDM Reference

Nutaq OFDM Reference Nutaq OFDM Reference Design FPGA-based, SISO/MIMO OFDM PHY Transceiver PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq OFDM Reference Design SISO/2x2 MIMO Implementation Simulation/Implementation

More information

Using a design-to-test capability for LTE MIMO (Part 1 of 2)

Using a design-to-test capability for LTE MIMO (Part 1 of 2) Using a design-to-test capability for LTE MIMO (Part 1 of 2) System-level simulation helps engineers gain valuable insight into the design sensitivities of Long Term Evolution (LTE) Multiple-Input Multiple-Output

More information

Spectral Monitoring/ SigInt

Spectral Monitoring/ SigInt RF Test & Measurement Spectral Monitoring/ SigInt Radio Prototyping Horizontal Technologies LabVIEW RIO for RF (FPGA-based processing) PXI Platform (Chassis, controllers, baseband modules) RF hardware

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

DEVELOPMENT OF LOW-COST PUBLIC SAFETY P25 WAVEFORM IN AN OSSIE ENVIRONMENT WITH USRP

DEVELOPMENT OF LOW-COST PUBLIC SAFETY P25 WAVEFORM IN AN OSSIE ENVIRONMENT WITH USRP Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved DEVELOPMENT OF LOW-COST PUBLIC SAFETY P25 WAVEFORM IN AN OSSIE ENVIRONMENT

More information

Wireless Communication Systems: Implementation perspective

Wireless Communication Systems: Implementation perspective Wireless Communication Systems: Implementation perspective Course aims To provide an introduction to wireless communications models with an emphasis on real-life systems To investigate a major wireless

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Tabrez Khan Application Engineering Group 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies 5G development

More information

Design and Implementation of Signal Processing Systems: An Introduction

Design and Implementation of Signal Processing Systems: An Introduction Design and Implementation of Signal Processing Systems: An Introduction Yu Hen Hu (c) 1997-2013 by Yu Hen Hu 1 Outline Course Objectives and Outline, Conduct What is signal processing? Implementation Options

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 9: Multiple Access, GSM, and IS-95

ECE 476/ECE 501C/CS Wireless Communication Systems Winter Lecture 9: Multiple Access, GSM, and IS-95 ECE 476/ECE 501C/CS 513 - Wireless Communication Systems Winter 2003 Lecture 9: Multiple Access, GSM, and IS-95 Outline: Two other important issues related to multiple access space division with smart

More information

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU

IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU IMPLEMENTATION OF SOFTWARE-BASED 2X2 MIMO LTE BASE STATION SYSTEM USING GPU Seunghak Lee (HY-SDR Research Center, Hanyang Univ., Seoul, South Korea; invincible@dsplab.hanyang.ac.kr); Chiyoung Ahn (HY-SDR

More information

FPGA-based Prototyping of IEEE a Baseband Processor

FPGA-based Prototyping of IEEE a Baseband Processor SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 00, 15-136 FPGA-based Prototyping of IEEE 80.11a Baseband Processor Dejan M. Dramicanin 1, Dejan Rakic 1, Slobodan Denic 1, Veljko Vlahovic

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS P. Th. Savvopoulos. PhD., A. Apostolopoulos 2, L. Dimitrov 3 Department of Electrical and Computer Engineering, University of Patras, 265 Patras,

More information

Sampling. A Simple Technique to Visualize Sampling. Nyquist s Theorem and Sampling

Sampling. A Simple Technique to Visualize Sampling. Nyquist s Theorem and Sampling Sampling Nyquist s Theorem and Sampling A Simple Technique to Visualize Sampling Before we look at SDR and its various implementations in embedded systems, we ll review a theorem fundamental to sampled

More information

Stratix II DSP Performance

Stratix II DSP Performance White Paper Introduction Stratix II devices offer several digital signal processing (DSP) features that provide exceptional performance for DSP applications. These features include DSP blocks, TriMatrix

More information

AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE

AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE AN FPGA IMPLEMENTATION OF ALAMOUTI S TRANSMIT DIVERSITY TECHNIQUE Chris Dick Xilinx, Inc. 2100 Logic Dr. San Jose, CA 95124 Patrick Murphy, J. Patrick Frantz Rice University - ECE Dept. 6100 Main St. -

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

SOQPSK Software Defined Radio

SOQPSK Software Defined Radio SOQPSK Software Defined Radio Item Type text; Proceedings Authors Nash, Christopher; Hogstrom, Christopher Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

QAM Receiver Reference Design V 1.0

QAM Receiver Reference Design V 1.0 QAM Receiver Reference Design V 10 Copyright 2011 2012 Xilinx Xilinx Revision date ver author note 9-28-2012 01 Alex Paek, Jim Wu Page 2 Overview The goals of this QAM receiver reference design are: Easily

More information

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR

PORTING OF AN FPGA BASED HIGH DATA RATE DVB-S2 MODULATOR Proceedings of the SDR 11 Technical Conference and Product Exposition, Copyright 2011 Wireless Innovation Forum All Rights Reserved PORTING OF AN FPGA BASED HIGH DATA RATE MODULATOR Chayil Timmerman (MIT

More information

Pre-distortion. General Principles & Implementation in Xilinx FPGAs

Pre-distortion. General Principles & Implementation in Xilinx FPGAs Pre-distortion General Principles & Implementation in Xilinx FPGAs Issues in Transmitter Design 3G systems place much greater requirements on linearity and efficiency of RF transmission stage Linearity

More information

Design and Implementation of a Multi-Carrier Demodulator

Design and Implementation of a Multi-Carrier Demodulator Design and Implementation of a Multi-Carrier Demodulator H. HO*, V. SZWARC*, C. LOO*, and T. KWASNIEWSKI** * Communications Research Centre 3701 Carling Ave., Box 11490, Station H, Ottawa, Ontario, K2H

More information

C2 and Payload in One Link

C2 and Payload in One Link C2 and Payload in One Link Chances and Challenges of OFDM DGLR Symposium Datenlink-Technologien für bemannte und unbemannte Missionen 21. März 2013 Dr. Christoph Heller Christian Blümm Outline Problem

More information

SDR TESTBENCH FOR SATELLITE COMMUNICATIONS

SDR TESTBENCH FOR SATELLITE COMMUNICATIONS SDR TESTBENCH FOR SATELLITE COMMUNICATIONS Kris Huber (Array Systems Computing Inc., Toronto, Ontario, Canada, khuber@array.ca); Weixiong Lin (Array Systems Computing Inc., Toronto, Ontario, Canada). ABSTRACT

More information

A New Complexity Reduced Hardware Implementation of 16 QAM Using Software Defined Radio

A New Complexity Reduced Hardware Implementation of 16 QAM Using Software Defined Radio A New Complexity Reduced Hardware Implementation of 16 QAM Using Software Defined Radio K.Bolraja 1, V.Vinod kumar 2, V.JAYARAJ 3 1Nehru Institute of Engineering and Technology, PG scholar, Dept. of ECE

More information

TSTE17 System Design, CDIO Lecture 7. Additional information resources. Testing. Check timing of the IP blocks Testing

TSTE17 System Design, CDIO Lecture 7. Additional information resources. Testing. Check timing of the IP blocks Testing TSTE17 System Design, CDIO Lecture 7 1 Project hints 2 Check timing of the IP blocks Testing FFT/IFFT, Viterbi block IP Data rates, setup time, average throughput Hints RF Selection of block and its parameters

More information

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS E. Sereni 1, G. Baruffa 1, F. Frescura 1, P. Antognoni 2 1 DIEI - University of Perugia, Perugia, ITALY 2 Digilab2000 - Foligno (PG)

More information

Prototyping wireless base stations or edge devices on a DSP/FPGA architecture using high-level tools

Prototyping wireless base stations or edge devices on a DSP/FPGA architecture using high-level tools Prototyping wireless base stations or edge devices on a DSP/FPGA architecture using high-level tools Louis Belanger, LYR Signal Processing, uebec City, uebec, Canada John Ahern, Comlab, uebec City, uebec,

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM AC 2011-2674: ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM Antonio Francisco Mondragon-Torres, Rochester Institute of Technology Antonio F. Mondragon-Torres received

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

DSP VLSI Design. DSP Systems. Byungin Moon. Yonsei University

DSP VLSI Design. DSP Systems. Byungin Moon. Yonsei University Byungin Moon Yonsei University Outline What is a DSP system? Why is important DSP? Advantages of DSP systems over analog systems Example DSP applications Characteristics of DSP systems Sample rates Clock

More information

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Journal of ELECTRICAL ENGINEERING, VOL. 60, NO. 1, 2009, 43 47 THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Rastislav Róka For the exploitation of PLC modems, it is necessary to

More information

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5

Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Realization of 8x8 MIMO-OFDM design system using FPGA veritex 5 Bharti Gondhalekar, Rajesh Bansode, Geeta Karande, Devashree Patil Abstract OFDM offers high spectral efficiency and resilience to multipath

More information

DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE

DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE DEVELOPMENT OF SOFTWARE RADIO PROTOTYPE Isao TESHIMA; Kenji TAKAHASHI; Yasutaka KIKUCHI; Satoru NAKAMURA; Mitsuyuki GOAMI; Communication Systems Development Group, Hitachi Kokusai Electric Inc., Tokyo,

More information

Software Radio Network Testbed

Software Radio Network Testbed Software Radio Network Testbed Senior design student: Ziheng Gu Advisor: Prof. Liuqing Yang PhD Advisor: Xilin Cheng 1 Overview Problem and solution What is GNU radio and USRP Project goal Current progress

More information

Adoption of this document as basis for broadband wireless access PHY

Adoption of this document as basis for broadband wireless access PHY Project Title Date Submitted IEEE 802.16 Broadband Wireless Access Working Group Proposal on modulation methods for PHY of FWA 1999-10-29 Source Jay Bao and Partha De Mitsubishi Electric ITA 571 Central

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand Advanced PXI Technologies Signal Recording, FPGA s, and Synchronization Outline Introduction to the PXI Architecture

More information

Anju 1, Amit Ahlawat 2

Anju 1, Amit Ahlawat 2 Implementation of OFDM based Transreciever for IEEE 802.11A on FPGA Anju 1, Amit Ahlawat 2 1 Hindu College of Engineering, Sonepat 2 Shri Baba Mastnath Engineering College Rohtak Abstract This paper focus

More information

PROPAGATION CHANNEL EMULATOR : ECP

PROPAGATION CHANNEL EMULATOR : ECP PROPAGATION CHANNEL EMULATOR : ECP The ECP (Propagation Channel Emulator) synthesizes the principal phenomena of propagation occurring on RF signal links between earth and space. Developed by the R&D laboratory,

More information

Design of Xilinx Based Telemetry System Using Verilog

Design of Xilinx Based Telemetry System Using Verilog Design of Xilinx Based Telemetry System Using Verilog N. P. Lavanya Kumari 1, A. Sarvani 2, K. S. S. Soujanya Kumari 3, L. Y. Swathi 4, M. Purnachandra Rao 5 1 Assistant.Professor (C), Department of Systems

More information

Cognitive Radio Platform Technology

Cognitive Radio Platform Technology Cognitive Radio Platform Technology Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu seskar (at) winlab (dot) rutgers (dot) edu Complexity/Performance Tradeoffs Efficient

More information

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Noise is an unwanted signal. In communication systems, noise affects both transmitter and receiver performance. It degrades

More information

Prototyping Next-Generation Communication Systems with Software-Defined Radio

Prototyping Next-Generation Communication Systems with Software-Defined Radio Prototyping Next-Generation Communication Systems with Software-Defined Radio Dr. Brian Wee RF & Communications Systems Engineer 1 Agenda 5G System Challenges Why Do We Need SDR? Software Defined Radio

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

SDR Applications using VLSI Design of Reconfigurable Devices

SDR Applications using VLSI Design of Reconfigurable Devices 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology SDR Applications using VLSI Design of Reconfigurable Devices P. A. Lovina 1, K. Aruna Manjusha

More information

What is New in Wireless System Design

What is New in Wireless System Design What is New in Wireless System Design Houman Zarrinkoub, PhD. houmanz@mathworks.com 2015 The MathWorks, Inc. 1 Agenda Landscape of Wireless Design Our Wireless Initiatives Antenna-to-Bit simulation Smart

More information

Crest Factor Reduction

Crest Factor Reduction June 2007, Version 1.0 Application Note 396 This application note describes crest factor reduction and an Altera crest factor reduction solution. Overview A high peak-to-mean power ratio causes the following

More information

Design and Implementation of Software Defined Radio Using Xilinx System Generator

Design and Implementation of Software Defined Radio Using Xilinx System Generator International Journal of Scientific and Research Publications, Volume 2, Issue 12, December 2012 1 Design and Implementation of Software Defined Radio Using Xilinx System Generator Rini Supriya.L *, Mr.Senthil

More information

Using a COTS SDR as a 5G Development Platform

Using a COTS SDR as a 5G Development Platform February 13, 2019 Bob Muro, Pentek Inc. Using a COTS SDR as a 5G Development Platform This article is intended to familiarize radio engineers with the use of a multi-purpose commercial off-the-shelf (COTS)

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes DAV Institute of Engineering & Technology Department of ECE Course Outcomes Upon successful completion of this course, the student will intend to apply the various outcome as:: BTEC-301, Analog Devices

More information

EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS

EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS EMBEDDED DOPPLER ULTRASOUND SIGNAL PROCESSING USING FIELD PROGRAMMABLE GATE ARRAYS Diaa ElRahman Mahmoud, Abou-Bakr M. Youssef and Yasser M. Kadah Biomedical Engineering Department, Cairo University, Giza,

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL

IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL IMPLEMENTATION OF G.726 ITU-T VOCODER ON A SINGLE CHIP USING VHDL G.Murugesan N. Ramadass Dr.J.Raja paul Perinbum School of ECE Anna University Chennai-600 025 Gm1gm@rediffmail.com ramadassn@yahoo.com

More information

Wideband HF Channel Simulator Considerations

Wideband HF Channel Simulator Considerations Wideband HF Channel Simulator Considerations Harris Corporation RF Communications Division HFIA 2009, #1 Presentation Overview Motivation Assumptions Basic Channel Simulator Wideband Considerations HFIA

More information

Productivity and flexibility for A/D applications

Productivity and flexibility for A/D applications Keysight Technologies W1902 Digital Modem Library Simulation Reference Library for Satellite and Military Communication Architects, Baseband Algorithm Researchers, and Component Verifiers in R&D Data Sheet

More information

Technical Aspects of LTE Part I: OFDM

Technical Aspects of LTE Part I: OFDM Technical Aspects of LTE Part I: OFDM By Mohammad Movahhedian, Ph.D., MIET, MIEEE m.movahhedian@mci.ir ITU regional workshop on Long-Term Evolution 9-11 Dec. 2013 Outline Motivation for LTE LTE Network

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

ECS455: Chapter 4 Multiple Access

ECS455: Chapter 4 Multiple Access ECS455: Chapter 4 Multiple Access 4.4 DS/SS 1 Dr.Prapun Suksompong prapun.com/ecs455 Office Hours: BKD 3601-7 Wednesday 15:30-16:30 Friday 9:30-10:30 Spread spectrum (SS) Historically spread spectrum was

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

Design and Implementation of SDR Transceiver Architecture on FPGA

Design and Implementation of SDR Transceiver Architecture on FPGA Design and Implementation of SDR Transceiver Architecture on FPGA Shreevani. C 1, Ashoka. A 2, Praveen. J 3, Raghavendra Rao. A 4 M.Tech, 2nd year, VLSI Design and Embedded Systems, ECE Dept., A.I.E.T,

More information

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6.

Faculty of Information Engineering & Technology. The Communications Department. Course: Advanced Communication Lab [COMM 1005] Lab 6. Faculty of Information Engineering & Technology The Communications Department Course: Advanced Communication Lab [COMM 1005] Lab 6.0 NI USRP 1 TABLE OF CONTENTS 2 Summary... 2 3 Background:... 3 Software

More information

GENERIC SDR PLATFORM USED FOR MULTI- CARRIER AIDED LOCALIZATION

GENERIC SDR PLATFORM USED FOR MULTI- CARRIER AIDED LOCALIZATION Copyright Notice c 2009 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works

More information

4.4 Implementation Structures in FPGAs and DSPs. Presented by Lee Pucker President, ForwardLink Consulting

4.4 Implementation Structures in FPGAs and DSPs. Presented by Lee Pucker President, ForwardLink Consulting 4.4 Implementation Structures in FPGAs and DSPs Presented by Lee Pucker President, ForwardLink Consulting Agenda Case Study on Implementation Structures Synchronization in a GSM Network Option 1: DSP Implementation

More information

Digital Payload Modeling for Space Applications

Digital Payload Modeling for Space Applications Digital Payload Modeling for Space Applications Bradford S. Watson Staff Engineer Advanced Algorithm Development Group Copyright 28. Lockheed Martin Corporation. All rights reserved..ppt 5/9/28 1 Overview

More information

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA 1 Neenu Joseph, 2 Dr. P Nirmal Kumar 1 Research Scholar, Department of ECE Anna University, Chennai,

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information