Extreme Delay Sensitivity and the Worst-Case. Farid N. Najm and Michael Y. Zhang. Urbana, IL 61801

Size: px
Start display at page:

Download "Extreme Delay Sensitivity and the Worst-Case. Farid N. Najm and Michael Y. Zhang. Urbana, IL 61801"

Transcription

1 Extreme Dela Sensitivit and the Worst-Case Switching Activit in VLSI Circuits Farid N. Najm and Michael Y. Zhang ECE Dept. and Coordinated Science Lab. Universit of Illinois at Urbana-Champaign Urbana, IL 68 Abstract { We observe that the switching activit at a circuit node, also called the transition densit, can be extremel sensitive to the circuit internal delas. As a result, slight dela variations can lead to several orders of magnitude changes in the node activit. This has important implications for CAD in that, if the transition densit is estimated b simulation, then minor inaccuracies in the timing models can lead to ver large errors in the estimated activit. As a solution, we propose an ef- cient technique for estimating an upper bound on the transition densit atever node. While it is not alwas ver tight, the upper bound is robust, in the sense that it is valid irrespective of dela variations and modeling errors. We will describe the technique and present experimental results based on a prototpe implementation. I. INTRODUCTION Higher levels of integration and shrinking line widths have led to a generation of devices that have more severe power dissipation and reliabilit problems than tpical devices of a few ears ago. Excessive power dissipation ma cause run-time errors and device destruction due to overheating, while reliabilit issues ma shorten device lifespan. It is especiall useful to diagnose and correct these problems before circuits are fabricated. In the popular CMOS technolog, logic gates draw current and consume power onl when making logical transitions. As a result, power dissipation and reliabilit strongl depend on the extent of circuit switching activit. This work was supported in part b the National Science Foundation (NSF), under grant MIP-986. Circuit activit is dependent on the input patterns being applied to the circuit. For one input set the circuit ma experience no transitions, while for another it ma switch ver frequentl. During the rst input set the circuit dissipates little power and experiences little wear, but for the second its activit might cause device failure. Thus one is tempted to simulate the circuit for all possible inputs in order to measure the activit, which is highl impractical for VLSI. Recentl, some approaches have been proposed to solve this problem b using probabilities to represent tpical behavior at the circuit inputs. In [], the average number of transitions per second at a circuit node is proposed as a measure of switching activit, called the transition densit. An algorithm was also proposed to propagate specied input transition densities into the circuit to compute the densities at all the nodes. Other approaches, such as [] and [], have also been proposed to overcome the pattern dependence problem and estimate the transition densit. However, in addition to being input pattern dependent, the transition densit at a node also depends on the path delas inside the circuit. Thus, due to dierent path delas, a node in a clocked snchronous circuit ma make several transitions before settling down to its stead state value in a clock period. Indeed, as we will illustrate in the next section, the transition densit atanodecanbe extremel sensitive to the circuit internal delas. As a result, slight dela variations (due, sa, to imperfections in the manufacturing process) can lead to several orders of magnitude changes in the switching activit. Furthermore, if the transition densit is estimated b simulation, such as in [], then minor inaccuracies in the dela models can lead to large errors in the estimated activit. Likewise, both approaches [] and [] can develop accurac problems resulting from extreme sensitivit. In [], the circuit delas are not explicitl taken into account, so the error due to dela sensitivit becomes part of the overall approximation error of the technique. In [], the smbolic expressions representing the probabilit of switching depend explicitl on the circuit delas and will, therefore, have accurac problems due to dela sensitivit. To address this problem, we propose a method of estimating an upper bound on the transition densit of individual nodes within a combinational circuit (assumed to be embedded in a larger sequential circuit). The upper bound provides an estimate of the maximum transition densit at ACM/IEEE Design Automation Conference, 995.

2 a node, and is based on user-specied min-max dela intervals for each logic gate. This estimate is robust, in the sense that it is valid irrespective of dela variations and timing model inaccuracies (within the specied dela intervals). The technique uses signal uncertaint to capture the worst case behavior of the circuit. It has been implemented in a prototpe simulator, called MaDest (Maximum Densit Estimator). The rest of this paper is organized as follows. In the next section, the notion of extreme sensitivit is illustrated in more detail. Section III contains a detailed description of the upper bound computation algorithm, and experimental results are presented in section IV. Finall, a summar and conclusion are presented in section V. II. EXTREME SENSITIVITY We will illustrate the extreme sensitivit phenomenon with the help of the two circuits in Figs. and. The circuit in Fig. (Circuit A) was simulated using [] to compute the transition densit at ever node to within %, with 95% condence. All inputs were assigned a (normalized) transition densit of :5 and a probabilit of :5. This means that, on average, a primar input makes a transition ever other clock ccle, and spends half the time in the logic state. Another circuit, shown in Fig. (Circuit B) was obtained from circuit A b simpl removing the NOR gate q. This circuit was then also simulated using [] under the same conditions. As far as the output node is concerned, the onl dierence between the two circuits is the slight change in the dela of the path (,, ) due to the reduced capacitive loading when the NOR gate is removed. This slight change in one of the path delas causes the transition densit at the output node to var b a factor of :8=:5 = 76, almost three orders of magnitude, between the two circuits. Thus node is said to be extremel sensitive a d b Figure. Circuit A - node has ver low transition densit. i j o p q (D=.5) The implication for CAD is profound: if the transition densit is estimated b simulation, then minor inaccuracies in the simulation models can lead to large errors in the estimated activit. Even if circuit simulation were used to estimate switching activit, which would be prohibitivel expensive, extreme sensitivit ma still be a problem. This is because slight dela variations due to imperfections in the manufacturing process ma still lead to order of magnitude changes in the switching activit. To overcome this problem, we will propose in the next section an ecient technique for computing an upper bound on the transition densit that is valid irrespective of dela variations or modeling errors. Using this technique, the user is alerted to the possibilit of having ver high transition densit at some nodes. More detailed analsis can then be carried out on these nodes, and corrective design measures can be implemented. Before going on, however, we will make some observations regarding the cause of the extreme sensitivit. 7 6 a d b Figure. Circuit B - node has much higher transition densit. i j o p (D=.8) Circuits A and B are not unique. Indeed it turns out that a necessar condition for a node to be extremel sensitive is that it be located where two or more reconvergent paths meet, provided the paths have delas that dier b a small amount, approximatel equal to the inertial dela of the gate. Slight dela variations can then have a large impact on the transition count, because if the dierence in path delas becomes less than the inertial dela, events will cancel out and few output events will be generated. Otherwise, if the dierence in path delas is larger than the inertial dela, then multiple events ma be generated at the gate output. This condition is not sucient, however, for extreme sensitivit. The signal values and the Boolean properties of the paths pla an additional ke role in determining extreme sensitivit. For instance, two competing events at the inputs of an AND gate must be complementar, otherwise a single event will be generated irrespective of the delas. Based on this necessar condition, we have implemented a simple pre-processor that examines the circuit topolog and ags a node as potentiall extremel sensitive if it satises the necessar condition. The results indicate that a low percentage of nodes are potentiall extremel sensitive (.% for the ISCAS-85 benchmarks). Although this is a small fraction of nodes, one cannot ignore this problem because if one of these nodes is close to the primar inputs, then its densit value will aect all other nodes downstream from it, leading to large variations in the estimated circuit activit. -/5-

3 III. UPPER BOUND COMPUTATION We assume that the circuit to be analzed is a combinational block that is part of a larger snchronous sequential design, as shown in Fig.. The primar inputs to the circuit (combinational block) switch in snchron with the clock, if at all, and can make at most one transition per clock ccle. Other circuit nodes, however, can make multiple transitions per clock ccle. Let n x() denote the number of transitions at node x in one clock ccle.for a given node, the average (or expected) number of transitions per clock ccle, divided b the clock period, is the transition densit for that node [] : x x x x n D(x) = E[nx()] If ^n x() is the maximum possible number of transitions per clock ccle at node x, then ^n x()= is the maximum transition densit, so that: Input Latches D(x) ^nx() x x x x n Combinational Logic Block m Output Latches m No. t t t t t5 t6 t7 Figure. Signal uncertaint representation. The vertical axis gives the maximum number of transitions (integer valued) that a node can possibl experience in specied time intervals. Thus the waveform in Fig. indicates that this node makes at most transition between t and t, at most transitions between t and t, at most transitions between t and t 5, at most transition between t 6 and t 7, and no transitions at an other time within the clock period. Once such a waveform is available for ever circuit node, then adding the transition count associated with each interval gives the required upper bound at that node, U[n x()]. We derive the signal uncertaint waveform associated with each circuit node b propagating user-specied uncertaint waveforms from the primar inputs throughout the circuit. A primar input node can have at most one transition. Thus the corresponding waveform consists of a single interval in which the transition count is. Ideall, this interval consists of the single time point t =. However, in order to allow for clock skew or dela variations, we use a more general model in which this interval is specied as [;t] where t is under user control (or a program default), as shown in Fig. 5. Figure. A combinational circuit embedded in a snchronous sequential design. We propose a method of computing an upper bound on ^n x() that is independent of the sensitivities and dela variations. We denote this upper bound b U[n x()]. Eectivel, this leads to an upper bound on the transition densit : D(x) U[nx()] Ideall, wewould like U[n x()] to be equal to ^n x(). However, in order to maintain computational ecienc, we can not guarantee this and, in general, the will not be equal. A. Signal uncertaint representation We will represent the variet of possible waveforms at a circuit node with a single waveform that helps describe our uncertaint about the behavior of the real signal. An example of this representation is given in Fig.. t Figure 5. The signal uncertaint representation for a primar input node. B. The propagation algorithm and heuristic The propagation algorithm visits ever gate in the circuit onl once, starting at the primar inputs, and processes a gate onl when all its fan-in gates have been processed. It is assumed that the gate delas are not known exactl, but are onl known to be within user-specied intervals [t d;min;t d;max]. This allows for dela variations due to process variations, temperature, drift, and timing model inaccuracies. The dela limits, which ma be dierent for dierent gate tpes, are scaled b the fanout capacitance seen b the gate. -/5-

4 When processing a gate, the uncertaint waveforms at its inputs are examined, and a corresponding uncertaint waveform is generated at its output. Since the logic values and specic transition times at the gate inputs are not known, the onl wa toguarantee an upper bound on the output transition count is to assume that ever input transition goes through. In this case, the output transition count of a gate is simpl the sum of all its inputs' transition counts. To illustrate, consider an AND gate with inputs A and B, and output C, for which the input and output uncertaint waveforms are shown in Fig. 6. Notice that the time interval at the output node is expanded to allow for maximum and minimum propagation delas. A t B t not a transition propagates through a gate depends on the signals at the other gate inputs. Among logic gates, onl the exclusive-or (XOR) gate has no controlling input value, and thus allows more transitions to go through. All other gate tpes (NAND, AND, NOR, and OR) will block some transitions when one of their inputs is at a controlling value ( for AND and NAND, for NOR and OR). To represent this fact, it seems reasonable to compute the maximum transition count for a logic gate (other than XOR) as some fraction of the sum of its input transitions, rather than the whole sum. We have found that a fraction of / works well in practice. To see wh this factor is plausible, consider that, for a -input XOR gate, there are combinations of input transitions that produce an output transition, as illustrated b the solid lines in Fig. 7a. In contrast, onl combinations of input transitions produce an output transition in the case of an AND gate, as shown in Fig. 7b. Hence the / factor. XOR gate (, ) (, ) AND gate (, ) (, ) C t t min. dela t max. dela t Figure 6. Input and output transition characteristics. It should be clear that this simple propagation procedure is ver fast, but can lead to loose upper bounds. While this is true in general, we have found that b using two modications to the basic technique, we can achieve reasonable accurac without impairing the speed advantage of the approach. The rst modication has to do with the fact that logic gates have non-zero inertial dela. Thus the output of a logic gate cannot carr arbitraril short pulses. A pulse has to be at least as long as the inertial dela ifit is to be transmitted. Therefore, ever output node has a minimum pulse width that puts a ceiling on the number of transitions that it can have within each sub-interval. The second modication is a heuristic that we have found works well in practice, as shown in section IV, and which tries to account for the other gate inputs. One reason that the upper bound can be loose is that whether or (, ) (, ) (, ) (, ) (a) (b) Figure 7. Transition diagrams for (a) an XOR gate and (b) an AND gate. Similar analsis ields the same / factor for ever other gate tpe (other than XOR) and for an number of inputs. The experimental data presented in the next section demonstrate that this works well in practice. IV. EXPERIMENTAL RESULTS The proposed technique has been implemented in the prototpe program MaDest. The program uses a simplied gate timing model in which librar-specied propagation delas are scaled b the external capacitive loading. The gate librar also species min-max dela intervals for ever gate. We will present results for the ISCAS-85 benchmark circuits [] (after mapping to the gate librar). In order to stud the accurac, one needs the true \maximum number of transitions per clock ccle" at ever node, allowing for timing model inaccuracies, process and dela variations, clock skew, etc. Finding this would be extremel computationall expensive. Instead, we will compare the upper bound densities to the maximum observed densities obtained from ver long logic simulations, using []. It should be clear that the true maximum should be at least as high as that observed from an simulation run. Thus the maximum observed densities measured from simulation are actuall lower bounds on the true maximum. As a result, all accurac comparisons will be made between the upper bounds produced b MaDest and the lower bounds obtained from simulation. Thus the error measurements presented below will be worst case, i.e., upper -/5-

5 bounds on the true errors. We will use the following error measures to stud the accurac, where n x() is the number of transitions at node x in one clock ccle, U[n x()] is the computed upper bound, L[n x()] is the lower bound obtained from [], and N is the total number of nodes in the circuit: the execution time (SUN Sparc ELC workstation) was under / second for an one ISCAS-85 circuit. The largest circuit took onl. cpu seconds.. Relative Error(n x)= =Average Relative Error = N Table I. U[nx()], L[nx()] L[n x()] NX x= AVERAGE RELATIVE ERROR FOR THE ISCAS-85 BENCHMARK CIRCUITS. Relative Error(n x) Number of Nodes Circuits #levels #gates h c % 7.% c99 6.7%.% c %.7% c % 57.8% c %.6% c % 6.7% c % 6.% c % 6.% c %.% c % 9.% The average relative errors observed for the ISCAS-85 circuits are shown in Table I, both with ( h) and without () the heuristic. The heuristic works well and leads to considerable improvement in the upper bound. On average, the densit results are overestimated b a factor of about.5. To investigate this further, consider the histogram of the relative errors at all the nodes in c, shown in Fig. 8. While most nodes have low error values, the errors for a few of the nodes is quite high. Undoubtedl, this is due in part to the approximations that have been used, and suggests that one should tr to do better. However, in some cases the high error is simpl a result of the node sensitivities. For instance, we have veried that the three nodes with the largest error values in Fig. 8 are potentiall extremel sensitive - the satisf the necessar condition of section II. Similar trends were observed in other circuits: nodes that are potentiall extremel sensitive tpicall exhibit large relative errors. Thus, while it is not alwas so, in man cases the \error" observed is not so much an accurac problem as it is simpl an indication of the presence of extremel sensitivit nodes. It should be clear that the approach isver fast, and has a time complexit that is linear in circuit size. Indeed, Relative Error Figure 8. c transition densit relative error histogram with the heuristic. VI. SUMMARY AND CONCLUSION The average number of transitions per second at a circuit node is a measure of switching activit called the transition densit. Wehave observed that in some cases, the transition densit at a node can be extremel sensitive to the circuit internal delas. As a result, dela variations due to process imperfections can lead to order of magnitude changes in the switching activit. Furthermore, if the transition densit is estimated b simulation, then minor inaccuracies in the dela models can lead to large errors in the estimated activit. As a solution, we have proposed an ecient technique for estimating an upper bound on the transition densit at ever node. The upper bound is robust, in the sense that it is valid irrespective of dela variations. Experimental results demonstrate that the technique is fast, and that a simple heuristic can be used to signicantl improve the tightness of the bound. REFERENCES [] F. Najm, \Transition densit: A new measure of activit in digital circuits," IEEE Transactions on Computer-Aided Design, pp. {, Feb. 99. [] A. Ghosh, S. Devadas, K. Keutzer, and J. White, \Estimation of average switching activit in combinational and sequential circuits," 9th ACM/IEEE Design Automation Conference, pp. 5{59, June 8{, 99. [] M. Xakellis and F. Najm, \Statistical Estimation of the Switching Activit in Digital Circuits," st ACM/IEEE Design Automation Conference, pp. 78{7, 99. [] F. Brglez, P. Pownall, and R. Hum, \Accelerated ATPG and fault grading via testabilit analsis," IEEE International Smposium on Circuits and Sstems, pp. 695{698, June /5-

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper.

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper. Sensitivity - A New Method to Estimate Dissipation Considering Uncertain Specications of Primary Inputs Zhanping Chen Electrical Engineering Purdue University W. Lafayette, IN 47907 Kaushik Roy Electrical

More information

Farid N. Najm. Urbana, IL Abstract. With the advent of portable and high-density microelectronic devices, the power dissipation

Farid N. Najm. Urbana, IL Abstract. With the advent of portable and high-density microelectronic devices, the power dissipation Estimating Power Dissipation in VLSI Circuits Farid N. Najm Coordinated Science Laboratory University of Illinois at Urbana-Champaign Urbana, IL 61801 Abstract With the advent of portable and high-density

More information

Farid N. Najm. Urbana, IL Abstract. With the advent of portable and high-density microelectronic devices, the power dissipation

Farid N. Najm. Urbana, IL Abstract. With the advent of portable and high-density microelectronic devices, the power dissipation A Survey of Power Estimation Techniques in VLSI Circuits Farid N. Najm Coordinated Science Laboratory University of Illinois at Urbana-Champaign Urbana, IL 61801 Abstract With the advent of portable and

More information

Pattern Independent Maximum Current Estimation in Power

Pattern Independent Maximum Current Estimation in Power Pattern Independent Maximum Current Estimation in Power and Ground Buses of CMOS VLSI Circuits: Algorithms, Signal Correlations and Their Resolution Harish Kriplani, Farid Najm and Ibrahim Hajj AT&T Bell

More information

February IEEE, VI:20{32, 1985.

February IEEE, VI:20{32, 1985. Acknowledgements The authors thank Joel Ferguson, J. Alicia Grice, Alvin Jee, Haluk Konuk, Rich McGowen, and Carl Roth for technical contributions. This work was supported by the Semiconductor Research

More information

Farid N. Najm. i.e., when the circuit is described in terms of memory. only with Boolean equations). problem, in the next section, the rest of the

Farid N. Najm. i.e., when the circuit is described in terms of memory. only with Boolean equations). problem, in the next section, the rest of the Power Estimation Techniques for Integrated Circuits Farid N. Najm ECE Dept. and Coordinated Science Lab. University of Illinois at Urbana-Champaign Abstract With the advent of portable and high-density

More information

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. An Ecient Path Delay Fault Coverage Estimator Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. AT&T Bell Labs Rutgers University

More information

Investigating Possible Induction Generator Effects Due to Sub-Synchronous Resonances

Investigating Possible Induction Generator Effects Due to Sub-Synchronous Resonances Investigating Possible Induction Generator Effects Due to Sub-Snchronous Resonances APPLICATION NOTES This application note deals with an investigation of possible induction generator effect triggered

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Coordinated Science Laboratory 1308 W est Main Street, Urbana, IL 61801

Coordinated Science Laboratory 1308 W est Main Street, Urbana, IL 61801 July 1997 University o f Illinois at Urbana-Champaign UILU-ENG-97-2219 D A C 59 Prediction of Activity Factor and Signal Probability in Domino CMOS Circuits Subodh Gupta and Farid N. Najm Coordinated Science

More information

1 Introduction The n-queens problem is a classical combinatorial problem in the AI search area. We are particularly interested in the n-queens problem

1 Introduction The n-queens problem is a classical combinatorial problem in the AI search area. We are particularly interested in the n-queens problem (appeared in SIGART Bulletin, Vol. 1, 3, pp. 7-11, Oct, 1990.) A Polynomial Time Algorithm for the N-Queens Problem 1 Rok Sosic and Jun Gu Department of Computer Science 2 University of Utah Salt Lake

More information

ChipEst-FPGA: A Tool for Chip Level Area and Timing Estimation. Tel: , Fax: Tel: , Fax:

ChipEst-FPGA: A Tool for Chip Level Area and Timing Estimation. Tel: , Fax: Tel: , Fax: ChipEst-FPGA: A Tool for Chip Level Area and Timing Estimation of Lookup Table Based FPGAs for High Level Applications Min Xu Fadi J. Kurdahi Dept. of Information and Computer Science Dept. of Electrical

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS. Richard Burch, Farid Najm. Ping Yang, and Dale Hocevar ABSTRACT

PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS. Richard Burch, Farid Najm. Ping Yang, and Dale Hocevar ABSTRACT PATTERN-INDEPENDENT CURRENT ESTIMATION FOR RELIABILITY ANALYSIS OF CMOS CIRCUITS by Richard Burch, Farid Najm Ping Yang, and Dale Hocevar ABSTRACT Accurate and ecient expected current estimation is required

More information

A COMPACT PARALLEL MULTIPLICATION SCHEME BASED ON (7,3) AND (15,4) SELF-TIMED THRESHOLD LOGIC COUNTERS

A COMPACT PARALLEL MULTIPLICATION SCHEME BASED ON (7,3) AND (15,4) SELF-TIMED THRESHOLD LOGIC COUNTERS A COMPACT PARALLL MULTIPLICATION SCHM BASD ON (,) AND (5,) SLF-TIMD THRSHOLD LOGIC COUNTRS Peter Celinski, Tro Townsend, Said Al-Sarawi, Derek Abbott Centre for High Performance Integrated Technologies

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja Vishwani D. Agrawal y Michael L. Bushnell Rutgers University, Dept. of ECE Rutgers University, Dept. of ECE Rutgers University,

More information

DIGITAL LOGIC COMPUTER SCIENCE

DIGITAL LOGIC COMPUTER SCIENCE 29 DIGITL LOGIC COMPUTER SCIENCE Unit of ENGINEERS CREER GROUP Head O ce: S.C.O-2-22 - 23, 2 nd Floor, Sector-34/, Chandigarh-622 Website: www.engineerscareergroup.in Toll Free: 8-27-4242 E-Mail: ecgpublica

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Logic Rewiring for Delay and Power Minimization *

Logic Rewiring for Delay and Power Minimization * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 20, 1-XXX (2004) Short Paper Logic Rewiring for Delay and Power Minimization * Department of Electrical and Computer Engineering and Department of Computer

More information

Chapter 2 Introduction to Logic Circuits

Chapter 2 Introduction to Logic Circuits Chapter 2 Introduction to Logic Circuits Logic unctions and circuits Boolean algebra Snthesis o digital circuits Introduction to CAD tools Introduction to VHDL Logic unctions and Circuits and 2 are binar

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE PBALASUBRAMANIAN Dr RCHINNADURAI MRLAKSHMI NARAYANA Department of Electronics and Communication Engineering

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Functions of more than one variable

Functions of more than one variable Chapter 3 Functions of more than one variable 3.1 Functions of two variables and their graphs 3.1.1 Definition A function of two variables has two ingredients: a domain and a rule. The domain of the function

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach 5847 1 Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach Natasa Miskov-Zivanov, Member, IEEE, Diana Marculescu, Senior Member, IEEE Abstract Transient faults in

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com A Novel Implementation

More information

DIGIT SERIAL PROCESSING ELEMENTS. Bit-Serial Multiplication. Digit-serial arithmetic processes one digit of size d in each time step.

DIGIT SERIAL PROCESSING ELEMENTS. Bit-Serial Multiplication. Digit-serial arithmetic processes one digit of size d in each time step. IGIT SERIAL PROCESSING ELEMENTS 1 BIT-SERIAL ARITHMETIC 2 igit-serial arithmetic processes one digit of size d in each time step. if d = W d => conventional bit-parallel arithmetic if d = 1 => bit-serial

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

the composition of pennies in the United States was changed due, in part, to the rising cost of copper. Pennies minted after 1983 weigh 3.

the composition of pennies in the United States was changed due, in part, to the rising cost of copper. Pennies minted after 1983 weigh 3. A-REI Accuratel weighing pennies II Alignments to Content Standards: A-REI.C.6 Task In 1983 the composition of pennies in the United States was changed due, in part, to the rising cost of copper. Pennies

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

FPGA Implementation of Global Vision for Robot Soccer as a Smart Camera

FPGA Implementation of Global Vision for Robot Soccer as a Smart Camera FPGA Implementation of Global Vision for Robot Soccer as a Smart Camera Miguel Contreras, Donald G Baile and Gourab Sen Gupta School of Engineering and Advanced Technolog Masse Universit, Palmerston North,

More information

Optimizing CMOS Circuits for Low Power using Transistor Reordering æ

Optimizing CMOS Circuits for Low Power using Transistor Reordering æ Optimizing CMOS Circuits for Low Power using Transistor Reordering æ E. Musoll and J. Cortadella Dept. of Computer Architecture Universitat Politècnica de Cataluna 08071 Barcelona, Spain Astract This paper

More information

THE gates which are most popular in the logic synthesis

THE gates which are most popular in the logic synthesis INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 8, VOL., NO., PP. 7 78 Manuscript received Ma 9, 7; revised Jul, 8. DOI:./ On Transformation of a Logical Circuit to a Circuit with NAND and NOR Gates

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

International Symposium on Low Power Electronics and Design, 1997, pp

International Symposium on Low Power Electronics and Design, 1997, pp International ymposium on Low Power Electronics and Design, 1997, pp. 178-183 K2: An Estimator for Peak ustainable Power of VLI Circuits Michael. Hsiao y, Elizabeth M. Rudnick yy, and Janak H. Patel yy

More information

Detection and Imaging of Internal Cracks by Tangential Magnetic Field Component Analysis using Low-Frequency Eddy Current Testing

Detection and Imaging of Internal Cracks by Tangential Magnetic Field Component Analysis using Low-Frequency Eddy Current Testing 19 th World Conference on Non-Destructive Testing 21 Detection and Imaging of Internal Cracks b Tangential Magnetic Field Component Analsis using Low-Frequenc Edd Current Testing Takua YASUGI, Yatsuse

More information

Module-1: Logic Families Characteristics and Types. Table of Content

Module-1: Logic Families Characteristics and Types. Table of Content 1 Module-1: Logic Families Characteristics and Types Table of Content 1.1 Introduction 1.2 Logic families 1.3 Positive and Negative logic 1.4 Types of logic families 1.5 Characteristics of logic families

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

EXPERIMENT 5 Basic Digital Logic Circuits

EXPERIMENT 5 Basic Digital Logic Circuits ELEC 2010 Laborator Manual Eperiment 5 PRELAB Page 1 of 8 EXPERIMENT 5 Basic Digital Logic Circuits Introduction The eperiments in this laborator eercise will provide an introduction to digital electronic

More information

Supply Current Modeling and Analysis of Deep Sub-Micron Cmos Circuits

Supply Current Modeling and Analysis of Deep Sub-Micron Cmos Circuits University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2008 Supply Current Modeling and Analysis of Deep Sub-Micron Cmos Circuits Tariq B. Ahmad University of

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS 1. Analog signal varies continuously between two amplitudes over the given interval of time. Between these limits of amplitude and time, the signal

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE

POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE ABSTRACT T.Subhashini and M.Kamaraju Department of Electronics and Communication Engineering, Gudlavalleru

More information

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 DIGITAL ELECTRONICS Marking scheme : Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 Aim: This experiment will investigate the function of the

More information

CycSAT: SAT-Based Attack on Cyclic Logic Encryptions

CycSAT: SAT-Based Attack on Cyclic Logic Encryptions CcSAT: SAT-Based Attack on Cclic Logic Encrptions Hai Zhou, Ruifeng Jiang, and Shuu Kong Northwestern Universit ABSTRACT Cclic logic encrption is a newl proposed circuit obfuscation technique in hardware

More information

Proceedings of the International Conference on Computer Design, pp , October 1993

Proceedings of the International Conference on Computer Design, pp , October 1993 Proceedings of the International Conference on Computer Design, pp. 5854, October 99 A LogicLevel Model for Particle Hits in CMOS Circuits Hungse Cha and Janak H. Patel Center for Reliable and HighPerformance

More information

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Hillary Grimes and Vishwani D. Agrawal Dept. of ECE, Auburn University Auburn, AL 36849 grimehh@auburn.edu, vagrawal@eng.auburn.edu Abstract

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Static Timing Analysis Taking Crosstalk into Account 1

Static Timing Analysis Taking Crosstalk into Account 1 Static Timing Analysis Taking Crosstalk into Account 1 Matthias Ringe IBM Deutschland Entwicklung GmbH, Schönaicher Str. 220 71032 Böblingen; Germany ringe@de.ibm.com Thomas Lindenkreuz Robert Bosch GmbH,

More information

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University Power Estimation Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Contents Embedded Low-Power ELPL Laboratory SPICE power analysis Power estimation basics Signal probability

More information

Vol. 5, No. 6 June 2014 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 5, No. 6 June 2014 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. Optimal Synthesis of Finite State Machines with Universal Gates using Evolutionary Algorithm 1 Noor Ullah, 2 Khawaja M.Yahya, 3 Irfan Ahmed 1, 2, 3 Department of Electrical Engineering University of Engineering

More information

Gates and and Circuits

Gates and and Circuits Chapter 4 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

Zhan Chen and Israel Koren ABSTRACT. proposed algorithm has been implemented in the framework of the Berkeley logic optimization package SIS.

Zhan Chen and Israel Koren ABSTRACT. proposed algorithm has been implemented in the framework of the Berkeley logic optimization package SIS. Technology Mapping for Hot-Carrier Reliability Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003 ABSTRACT As semiconductor

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

THE use of coherent optical communication systems offers

THE use of coherent optical communication systems offers 2470 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 17, NO. 12, DECEMBER 1999 Performance of Optical Heterodne PSK Sstems with Costas Loop in Multichannel Environment for Nonlinear Second-Order PLL Model Ivan B.

More information

LSN 3 Logic Gates. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology

LSN 3 Logic Gates. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology LSN 3 Logic Gates Department of Engineering Technology LSN 3 Inverter One input and one output Produces a compliment of the input Negation indicator Truth table Active low output In Out 0 1 1 0 Active

More information

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC V.Reethika Rao (1), Dr.K.Ragini (2) PG Scholar, Dept of ECE, G. Narayanamma Institute of Technology and Science,

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information

A Novel Cascaded Nonlinear Equalizer Configuration on Recurrent Neural Network Framework for Communication Channel

A Novel Cascaded Nonlinear Equalizer Configuration on Recurrent Neural Network Framework for Communication Channel WCE 2009, Jul - 3, 2009, London, U.K. A Novel Cascaded Nonlinear Equalizer Configuration on Recurrent Neural Network Framework for Communication Channel Susmita Das, IEEE Member Abstract - Recurrent neural

More information

Techniques for the Power Estimation of Sequential Logic Circuits Under User-Specified Input Sequences and Programs

Techniques for the Power Estimation of Sequential Logic Circuits Under User-Specified Input Sequences and Programs Techniques for the Power Estimation of Sequential Logic Circuits Under User-Specified Input Sequences and Programs José Monteiro Srinivas Devadas Department of EECS MIT, Cambridge, MA 02139 Abstract We

More information

EXPERIMENT 2: Introduction to Cadence Tools (Schematic Capture and Circuit Simulation)

EXPERIMENT 2: Introduction to Cadence Tools (Schematic Capture and Circuit Simulation) EXPERIMENT 2: Introduction to Cadence Tools (Schematic Capture and Circuit Simulation) PURPOSE The purpose of this experiment is to introduce you to schematic capture and logic simulation. Primarily, you

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

LOW-POWER SYNTHESIS OF COMBINATIONAL CMOS CIRCUITS. Dmitry Cheremisinov, Liudmila Cheremisinova

LOW-POWER SYNTHESIS OF COMBINATIONAL CMOS CIRCUITS. Dmitry Cheremisinov, Liudmila Cheremisinova 272 International Journal "Information Technologies & Knowledge" Volume 10, Number 3, 2016 LOW-POWER SYNTHESIS OF COMBINATIONAL CMOS CIRCUITS Dmitry Cheremisinov, Liudmila Cheremisinova Abstract: An approach

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

ASP-DAC $ IEEE

ASP-DAC $ IEEE A Testability Analysis Method for Register-Transfer Level Descriptions Mizuki TAKAHASHI, Ryoji SAKURAI, Hiroaki NODA, and Takashi KAMBE Precision Technology Development Center, SHARP Corporation Tenri,

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

Technical Report No. 93 November Optimal Synthesis of Fanoutfree Functions. Lehrstuhl fur Technische Informatik. Universitat Wurzburg

Technical Report No. 93 November Optimal Synthesis of Fanoutfree Functions. Lehrstuhl fur Technische Informatik. Universitat Wurzburg Technical Report No. 93 November 1994 Optimal Synthesis of Fanoutfree Functions Winfried Noth, Reiner Kolla Lehrstuhl fur Technische Informatik Universitat Wurzburg Zwinger 34 97070 Wurzburg Germany Phone:

More information

Energy Minimization of Real-time Tasks on Variable Voltage. Processors with Transition Energy Overhead. Yumin Zhang Xiaobo Sharon Hu Danny Z.

Energy Minimization of Real-time Tasks on Variable Voltage. Processors with Transition Energy Overhead. Yumin Zhang Xiaobo Sharon Hu Danny Z. Energy Minimization of Real-time Tasks on Variable Voltage Processors with Transition Energy Overhead Yumin Zhang Xiaobo Sharon Hu Danny Z. Chen Synopsys Inc. Department of Computer Science and Engineering

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

The Allan Variance Challenges and Opportunities

The Allan Variance Challenges and Opportunities The Allan Variance Challenges and Opportunities Samuel R Stein Smmetricom, Inc. Boulder, Colorado, USA Abstract The Allan variance has historicall been estimated using heterodne measurement sstems, which

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach Technology Volume 1, Issue 1, July-September, 2013, pp. 41-46, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 M.Vishala, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 HOD Dept of ECE, Geetanjali

More information

Digital Fundamentals. Logic gates

Digital Fundamentals. Logic gates Digital Fundamentals Logic gates Objectives Describe the operation of the inverter, the AND gate, and the OR gate Describe the operation of the NAND gate and the NOR gate Express the operation of the NOT,

More information