April 9, 2000 DIS chapter 10 CHAPTER 3 : INTEGRATED PROCESSOR-LEVEL ARCHITECTURES FOR REAL-TIME DIGITAL SIGNAL PROCESSING

Size: px
Start display at page:

Download "April 9, 2000 DIS chapter 10 CHAPTER 3 : INTEGRATED PROCESSOR-LEVEL ARCHITECTURES FOR REAL-TIME DIGITAL SIGNAL PROCESSING"

Transcription

1 April 9, 2000 DIS chpter 0 CHAPTE 3 : INTEGATED POCESSO-LEVEL ACHITECTUES FO EAL-TIME DIGITAL SIGNAL POCESSING

2 April 9, 2000 DIS chpter 3.. INTODUCTION The purpose of this chpter is twofold. Firstly, bsic processor-level rchitecturl strtegies or styles will be investigted to mp lgorithms into integrted embedded softwre nd custom hrdwre systems (rchitectures). The methods will be suited especilly for rel-time multi-medi nd telecom signl processing (SP) pplictions but lso solutions for off-line nd numericl processing will be included. Secondly, the bsic modules out of which ll digitl hrdwre is composed will be investigted (Fig..). This will result in requirements for communiction, dt-pth (supporting the lgorithmic opertions), controller nd storge components. These modules will be treted in more detil in the subsequent chpters. It is lso importnt to be wre tht complete rchitecturl methodology hs to include wys to mp the underlying modules (components) into n IC lyout both fst nd efficiently. Moreover, the chrcteristics of the prmetrisble building block librry to be predefined, to llow using them during the rchitecturl explortion phse. This includes views of the re, power nd speed mesures for dders, registers, OM's, stndrd cells nd the like POCESSO-LEVEL ACHITECTUAL STYLES In order to clrify the need for different rchitecturl styles if n efficient embedded integrted system hs to be obtined, first typicl test-cse will be treted, nmely imge processing systems Imge processing subtsks nd rchitectures. When deling with imges, in generl, severl processing stges cn be defined tht re closely relted to the humn perception system [Off85] (see lso course on imge processing of Prof. Vn Gool). They rnge from high-rte locl lgorithms, which cn be executed in prllel, over medium-rte sequentil ones, which look t lrger neighbourhoods, to low-rte globl ones, which require informtion from the entire imge (Fig.3.). To clrify this, robot vision system will be dopted s n exmple. I m g e 0-50 MHz Front-end D or 2D rrys with regulr communiction P i x e l s -20 MHz Medium-level lowly muxed custom processors F e t u r e s < khz Bck-end microcoded processors D t Fig.3. Bsic submodules in most imge nd video processing systems Pixel informtion is processed loclly t the front-end, then compressed to fetures in the medium-stge nd then interpreted into the desired dt t the bck-end.

3 April 9, 2000 DIS chpter 2 Approprite rchitecturl design strtegies for these submodules hevily depend on the throughput requirements nd the properties of the signl nd control flow. Front-end imge nd video processing (0-40 MHz rnge) typiclly involve locl enhncement nd restortion opertions on the originl imge. For instnce, for the robot vision system, the pixel informtion in the originl imges from the cmer cn be trnsformed to represent the potentil loction of edges. This is typiclly chieved bsed on grdient informtion gthered in locl neighbourhood (e.g. 3x3) round every pixel position. These extremely regulr nd locl opertions should lso be reflected in the wy they re mpped onto silicon. This typiclly results in modulr, highly prllel rchitectures such s systolic rrys (see subsection 3.2.4). At the medium-level (-0 MHz rnge) the still mssive mount of locl (pixel-level) informtion is trnsformed nd compressed to be useful for the finl imge interprettion stge. Here, higher-level imge informtion (so clled fetures) such s the ctul edges, texture or fces of objects is identified, resulting in the removl of redundncy (i.e. the noise nd the unnecessry detils). Typiclly, prtly recursive nd thus sequentil lgorithms re needed (s in recursive or II filters). For instnce, in the robot vision system, in the trnsformed imge with the potentil position of edges, first "begin-point" cn be identified. From there on, n itertive (recursive) process cn strt where the locl neighbourhood of the current end point of the edge is scnned for the best cndidte to become the new end-point. As result of this combintion between irregulr, recursive lgorithms nd reltively high throughput, the rchitectures should combine customized rithmetic opertors (i.e. fst "hrd-wired" dt-pth) with multiple communiction nd storge modules tht ccommodte high clock rtes (nd thus dt rtes). This lowly time multiplexed lterntive will be explined in subsections nd 3.2.4, nd illustrted in detil for the 2nd order filter exmple in section 3.3. Finlly, t the bck-end, the periodic dt strems re now the sets of fetures for the repetitive imge frmes. Hence, the frme rte is quite low (from few Hz to few khz). However, for ech of these frmes, sophisticted lgorithms for e.g. further compression or imge understnding hve to be relized. They involve globl opertions nd lot of decision-mking. For instnce, for our robot vision system, once the mny individul edges hve been clustered into complete contours, the ctul object recognition cn strt. For this purpose, the shpes of the contours re compred with possible templtes stored in n object librry (which contins e.g. cubes, disks, spheres, pyrmids). This involves complex pruning (with condition trees) of the mny possible mtched constructs to obtin robust recognition process. Consequently, the rchitectures most suited for this rnge of pplictions will be highly flexible nd will hve to provide n efficient solution when the clock rte chievble in the hrdwre is much higher thn (in this cse) the frme rte. This will led to the use of highly multiplexed processors (see subsection nd section 3.3).

4 April 9, 2000 DIS chpter The rchitecturl design problem So we see tht in order to mp such pplictions onto hrdwre, first suitble rchitecturl style for the processors hs to be selected. Mny lterntives re vilble here, which will be ddressed in subsection From the imge processing exmple, it is cler tht this importnt design decision depends on the chrcteristics of the flow-grph, the smple rte specifictions nd economicl spects. Crucil DDG chrcteristics include the desired reprogrmmbility, the mount of modulrity or regulrity, the dominnce of either prllel or recursive opertions, nd the presence of complex control flow i.e. conditions nd loops. The smple rte is determined by the periodicity of the dt strems tht re offered t the input. As lredy mentioned, word of cution is needed on the interprettion of wht "smple" is for prticulr subsystem in n ppliction: does it correspond e.g. to frmes or individul words. Economicl issues re relted to the volume produced on yerly bsis, the time to mrket ffordble nd whether the re or the power cost hve to be optimized. Selecting n efficient rchitecturl style is complicted step s no "rigid" theory hs been (or cn be?) developed to guide it. Architecturl experts found their decision on experience, "heuristics". An ttempt will be mde to summrize some of this knowledge into more or less deterministic "decision tree", or to be more precise into set of such decision trees (Fig.3.3) which will be discussed in subsection A set of trees is needed becuse mny of the necessry choices cn be mde lmost independently. Architecturl design thus strts with "pruning" the tree brnches within this vriety of lterntives, resulting in motivted choice for ech of the submodules in your ppliction (see imge processing exmple in subsection 3.2.). Power Pmx - -2 W Seril o o Prllel Are Spce Amx-cm 2 Speed,Fcl Fmx MHz

5 April 9, 2000 DIS chpter 4 Fig.3.2 Architecture selection problem: optimistion within throughput, re nd power constrints Clerly, the "optiml" rchitecturl decisions will lso depend on the limittions of given technology, in terms of size, timing nd power consumption. For instnce, current IC's should preferbly be smller thn bout cm 2 for resons of yield, though quite bit more is rechble for very high volume circuits (not ddressed in this course). In ddition, the mximl power consumption should be less thn W in order to limit the het dissiption nd thus the pckge cost (plstic) nd lso to increse the relibility (e.g. electro-migrtion effects for which usully pek or men-squre-root criteri re the most relevnt). For mobile nd other power-conscious computing the restrictions on verge power re even stricter. Moreover, the bsic gte dely is limited to between 0.5 nd few ns depending on the gte length nd the technology used so the clock frequencies re currently limited to bout Mhz (Fig.3.2). Note tht for very high volume circuits like microprocessors, gin much higher clock rtes (up to 600 Mhz for the DEC-Alph) re fesible, but these come t the price of very expensive design nd process so they re not cceptble in consumer mrket context. The ltter will determine the mximl clock frequency Fcl=Tcl which cn rnge between 0 nd 00 MHz dependent on the length (number of gtes) of the criticl pth between clocked registers. The clock rtes offered by IC vendors for the off-theshelf components in their ctlogs re typiclly lower due to overhed (on- off dely, extr hrdwre for flexibility). Of course, the bsolute figures (especilly for the gte dely) will lso depend on the miniml feture size (e.g. 0.5 um) nd nture (e.g. CMOS or bipolr) of the vilble IC technology. In this course, whenever bsolute dt re required for delys, re or power, it is ssumed tht CMOS technology in the 3 to.25 µm rnge hs been used (for historicl resons). However, typiclly the min trends s presented here for older process technologies, will continue to hold for more dvnced technologies. Only the exct loction of the boundry between the ppliction domins of some of the rchitecturl methodologies will shift little. In summry, relizble rchitecture for given lgorithm should reside within the constrined size*time*power cube (Fig.3.2) nd optimize given cost function. In most cses, this cost function will be minly the size of the resulting circuit. However, the overll design nd test cost should be tken into ccount too in this trde- off with the production cost (wfer pckge cost). Other importnt fctors re the necessry volume of the production nd the competition in terms of time-to-mrket. This mkes rchitecture design very interesting nd cretive tsk which offers mny chllenges for the engineer. It comes very close to the cretivity ssocited with the work of n rtist. Moreover, rchitecturl design ffects both the bord nd the IC level, nd is thus importnt both for "systems" (options Automtistie en computersystemen, or Telecommunictie) nd "micro-electronics" types of engineers. In ddition, the gins in terms of the optimistion of the cost function re much higher t the system nd register-trnsfer (or rchitecture) level thn the impct of the optimistions t the gte or trnsistor level! Importnt notes:

6 April 9, 2000 DIS chpter 5. Lower level decisions, below the T level re required (see 4th yer course). One of the most importnt decisions is relted to the technology pltform, i.e. the choice between fully ppliction-specific circuit (ASIC) or reconfigurble pltform (e.g. field-progrmmble gte rry or interconnect trget). In principle, lso heterogeneous mix of these technologies is now fesible in so-clled systems-on-chip pltforms. Clerly, the reconfigurtion of the FPGA nd FPIC pltforms llows more flexibility but this comes t the price of (hevily) incresed re nd especilly power cost. 2. At this stge, it is ssumed tht choices to be tken t higher bstrction levels re lredy fixed in the entry specifiction. This includes in prticulr the lgorithmic issues like the selection of dt types (see Chpter 2, Section 2), the tsk-level decisions (Chpter 2, Section 3) nd the DTSE issues (Chpter 6, Section 7) which involve especilly lgorithmic trnsformtions on loop structure nd dt-flow. In generl, ll lgorithmic trnsformtions should hve been executed lredy. Their min objective is to minimize the wste in needless computtions, dt trnsfer nd dt storge. Moreover, the overll system control should hve been simplified s much s possible. These issues will not be the min topic of this course but indirectly they will pop up during the illustrtion of the principles in the smll demonstrtors in the course text, nd lso during the exercise sessions. Dt storge Progrmmbility Centrlized Distributed Generl Purpose Processor Domin Specific Processor Custom Processor Architecture Dt distribution AM PAM egfiles Control Mechnism Pipelining Brodcsting Control Flow (Centrlized) Dt flow (distributed) Bit seril Prllelism on bit level Bit prllel Hrd wired Micro coded Lrge grin Smll grin Prllelism on word level Communiction (timing) Single PE Multiple PE's Synchronous (clocked) Shred bus Self-timed (distributed) Asynchronous Bus protocol (rbiter) SIMD MIMD MISD egulr Arrys Multi Proc. Cooperting Dt pths SISD Hrdwired Dt pth Fig.3.3 Architecturl explortion: Min rchitecturl choices: ll of these lterntives cn be selected completely independently (in prllel!). For this reson they re not combined into the conventionl single complex tree The bsic decision trees. The mny rchitecturl design choices will now be treted in detil. In Fig.3.3, every "independent" tree hs root which is frmed (e.g. progrmmbility). Guide lines will

7 April 9, 2000 DIS chpter 6 be included whenever possible. More detils cn be found lso in [Ct90]. It should be stressed tht in mny cses "hybrid" solutions, which combine two or more brnches within tree, cn be even more preferble Progrmmbility Firstly, the designer hs to choose how much progrmmbility is necessry for hisher ppliction. For production volumes, in principle, the system hrdwre cn be fully customized to the ppliction in order to exploit ll wys of minimizing size ndor power consumption for given timing spec. Obviously this cn only hppen if the lgorithm to be relized is completely fixed. This results in n custom processor (CP) rchitecture solution. The design times of such CP's re still very high though nd design cost is mjor obstruction to wider cceptnce. However, tody's design cycle figures cn be reduced drmticlly by mintining only limited prmeterizble module librry t the T level ("meet-in-the-middle" strtegy s discussed in Chpter 3 [DeM86]). This pproch should be combined with the ppliction of powerful CAD tools which support the design tsks both t the rchitecture synthesis nd the mcrocell genertion or T synthesis levels. This is demonstrted in rchitecturl synthesissilicon compiler environments s the different CATHEDALs [DeM90] t IMEC, PHIDEO t Philips [Lip93] nd HYPELAGE [b9] t U.C.Berkeley. For prototyping purposes however, progrmmble instruction-set processors (IP) solutions of the generl-purpose (GP) type re usully fvoured (see section 3.4 for exmples). These will llow you to chnge some of the not yet fixed prts in your lgorithm "on-the-fly" by modifying the "progrm" executed by the hrdwre. It should be stressed though tht these solutions involve reltively lrge overhed in size nd power consumption to chieve this, especilly t the high clock rtes employed for modern ISCs. In generl-purpose DSP processors however, the clock rtes is usully limited to bout 50 Mhz nd the rtio of useful instructions is not 00% so for leding-edge telecom nd multi-medi processing these (single) processors still exhibit severe limittions. The min issue in the future will be the energy-dely product tht cn be obtined by such processors. ecent reserch t U.C.Berkeley hs demonstrted tht this criterion is bout 3 to 4 orders of mgnitude lrger GPs thn for CPs. A wy in between is offered by the domin-specific processors (Dps) which re optimized for prticulr ppliction domin but which still llow you to (prtilly) chnge your lgorithm on-the-fly by loding nother progrm. Exmples include the current genertion of Jpnese video nd imge processors customized especilly towrds the front-end modules in Fig.3.. Also the current genertion of multi-medi processors like the Philips TriMedi nd the TI C60 series re bridging the gp between GPs nd CPs. Even with the limited progrmmbility however, the price pid in hrdwre or power overhed is t present considerble compred to full CP solutions. Much more rchitecture reserch is needed to mke these solutions fully ttrctive for lrge mrket segments Control mechnism

8 April 9, 2000 DIS chpter 7 Bsiclly two wys exist to control the sequence of opertions which hs to be pplied to the dt "present" in the hrdwre. In the first lterntive, nmely control flow rchitectures, every ction on the opertors is steered from centrl mechnism. Still, hierrchy cn be present in the distribution of the "commnds" to the opertors. This cn be compred with "dicttor-ruled society" (Fig.3.4). Here, the orgnistion of the controller cn be hrd-wired (typiclly for higher smple rtes) or bsed on progrmmble (domin-specific) micro-code. This distinction will be discussed in detil in Chpter 5. BIG BOSS A Control Hierrchy B OFFICE CHIEFS CLEQS Dt-pth V A L () Fig.3.4 Control mechnism: control flow () dt flow (b) (b) * B A V A L Alterntively, the decisions on the ctions to be tken cn be "coded" in seprte field (so clled tg) which is ttched to every signl. In this cse, the signls cn move utonomously long the opertors. In sense, they crry their "progrm" which decides wht to do with them on their bck (Fig.3.4b). In some wys, this dt flow concept cn be compred with n extremely democrtic nd decentrlized society. The decision on the opertion control formt is usully in fvour of the more centrlized control flow lterntive for the time being. Indeed, dt-flow rchitectures still tend to cost (too) much hrdwre, especilly when combined with the synchronous timing discussed below. Both n re nd power penlty re usully pid. Moreover, keeping the opertors in the pure dt-flow processor busy is sometimes difficult, especilly when this principle is pplied up to the primitive opertions (smll-grin dt flow). Still, some more regulr pplictions cn benefit from lrge-grin dt-flow principles where combintion is mde with control flow t the lowest level of the opertion hierrchy Dt communiction nd timing Either synchronous or n synchronous (or self-timed [Sei80]) protocol hs to be decided upon. Within the synchronous ctegory, bsic clock exists which determines the time intervls for which both internl nd externl signls hve to remin stble nd those in which the signls my chnge (Fig.3.5). The trnsfer between "storge loctions" (registers) tkes plce t the rhythm of the clock. Multiple clocks my be present s long s they re derived from one mster. Within the synchronous systems, communiction cn be fully distributed on point-to-point bsis (without dt conflicts or congestion), or bsed on shred bus system where every bus cn hve severl "msters" which write nd severl "slves" which red the dt (Fig.3.5b).

9 April 9, 2000 DIS chpter 8 Phi Phi2 Phi EG Phi2 Bse clock: e.g. 2 phse non-overlpping Fig.3.5 () Timing: synchronous mechnism Distributed (point-to-point) Stble [i-] Shred Bus Stble [i] At every cycle: mster => POTOCOL Fig.3.5 (b) Timing: communiction options In contrst, n synchronous system llows signls to chnge t rbitrry moments in time so dt strems flow utonomously through the network. In order to provide wy of synchronistion, whenever these strems hve to interct, opertors check the sttus of incoming dt nd only produce n "output" when ll opernds re vilble (Fig.3.6). Agin, the communiction cn be bus bsed, with n rbiter protocol which is minly useful for the communiction with the "outside world", or distributed (Fig.3.6b). The ltter options usully leds to so clled self-timed dt flow systems, s discussed bove. In the literture, minly rigorously clocked rchitectures hve been discussed so fr, s the re nd power overhed of completely self-timed systems is still too lrge in (V)LSI, even with recent dvnces in circuit design nd design utomtion. Hence, we will restrict ourselves to the synchronous option in the course. This my chnge in the future when extremely lrge systems cn reside on single chip (ULSI). Most probbly the concept of (lrge) synchronous islnds in n synchronous se will be dopted then, where loclly clocked uniform zones re seprted by synchronous interfces t some key points in the system net-list (t loctions where the synchronistion overhed between the zones cn be kept negligible). The synchronous communiction llows for lrge clock-skew between the islnds. Moreover, it enbles esier shut-down of the components (islnds) tht re not needed t prticulr instnce in time (for dt-dependent ppliction lods).

10 April 9, 2000 DIS chpter 9 Test Oper Fig.3.6 () Timing: synchronous mechnism Distributed (self-timed) Bus bsed Op Op ASIC uproc ASIC Op Arbiter Fig.3.6 (b) communiction options Sfe protocol: e.g 4-wy hndshke Dt storge Dt storge cn be distributed (in fst register-bnks or locl pointer-ddressed memories) or centrlized (e.g. in lrge off-chip frme memories) dependent on the mount of the dt to be stored nd on the frequency of ccess. All these choices will be discussed in more detil in Chpter 6. These rchitecture choices re very importnt for re nd power cost reduction, s demonstrted by studies for CPs intended for multi-medi pplictions both t Stnford (group of Theres Meng) nd t IMEC. Moreover, dt storge nd trnsfer issues should be considered in combined wy becuse they re hevily relted. Also for IPs the min power nd re cost in modern processors is situted in memory storge nd the communiction, s shown by recent studies t U.C.Berkeley (Dve Ptterson s group), Stnford (Mrk Horowitz et l.) nd Princeton (Shrd Mlik et l.). Therefore, system-level DTSE is crucil stge (see Chpter 6, Section 7).

11 April 9, 2000 DIS chpter 20 8 Decoder Write ddress 8 Increm.pointer Write pointer Dt in Dt out Dt in Dt out 8 Decoder ed ddress () Fig.3.7 Dt storge: register-file () PAM (b) ed pointer Increm.pointer (b) The register-files usully combine severl ports for reding ndor writing with smll size nd n efficient ddress decoding mechnism (Fig.3.7). They re preferred for fst ccess needed in short-term storge. Unfortuntely, the re nd power overhed for lrger sizes is rpidly becoming uncceptble. For lrger memories, severl options re vilble. If the ccess mechnism cn be restricted to prticulr types of "incrementing" the ddress, pointer-bsed relistion (Fig.3.7b) is dvntgeous. If rndom ccess is needed we hve to fll bck on more conventionl AM's which re usully restricted to single port. Also the use of customized cches with dt red in once but consumed mny times t the output is very importnt. This is especilly so when the communiction bottleneck is situted in the IO nd when dt is (hevily) reused. This is typicl for e.g. buffering between lrge off-chip memory nd fst on-chip processing hrdwre egister distribution One of the min wys to speed up the chievble throughput in hrdwre is the introduction of dditionl storge nd synchronistion points (clocked registers in the synchronous cse or dt-flow synchronizers in the synchronous cse) between hrdwre components. This technique clled pipelining cn be employed both for set of cscded opertors in dt-pth nd for long busses in the communiction (s opposed to brodcsting the dt where the dt re distributed ll over the system in single "clock cycle"). Pipelining cn be prtilly compred with the introduction of severl specilized workers in fctory which re responsible for only smll prt of the totl job nd which obtin prtilly completed "product", pply n incrementl step towrds completion nd pss it to the next co-worker in the line. As result, the throughput of the products increses compred to sitution with the sme mount of workers who would combine their efforts but who hve to perform ll of the steps in sequence before completed product rolls of the line nd new one cn be strted.

12 April 9, 2000 DIS chpter 2 *- Op - Op Op Abs Op Fig.3.8 Illustrtion of pipeline principle *2 This principle is illustrted for smll dt-pth in Fig.3.8. Without the internl registers, the input signls hve to pss 3 opertors before result is vilble nd before new input signl cn be entered. Hence the criticl pth dely is lrge resulting in both smll clock rte nd mximl chievble smple rte. With the presence of the 4 pipeline registers (2 in ech brnch), the criticl pths in ech of the pipeline stges is now reduced significntly. In the best cse, the clock rte cn be 3 times higher nd the sme pplies for the smple rte. This comes t the cost of slight increse in re but lso of significnt increse in power consumption. There is however trde-off involved s there will be n increse in the totl mount of cycles which psses between the moment t which new signl rrives t the input nd the moment t which the finl result of the lgorithm leves the system. This mount of cycles is clled the input-output dely (or ltency). So even though the clock period itself decreses with incresed pipelining nd the mximl rte t which new dt cn be entered into the system correspondingly increses, it tkes mny more cycles before completion of the tsk for prticulr signl. Z - Fs = restricted even if Fcl increses! Fig.3.9 ecursive bottle-neck As result, pipelining is extremely difficult or even impossible when recursive bottlenecks re present where in principle no dditionl smple delys cn be introduced. This is illustrted for the bottle-neck in recursive filter in Fig.3.9. If dditionl pipelines re dded the clock rte cn increse, but ny input smple still hs to wit until the processed result of the previous smple hs pssed ll the opertor stges in

13 April 9, 2000 DIS chpter 22 the feedbck loop before the new smple cn enter it. Hence the mximl smple rte equls the clock rte corresponding to feedbck loop with only single register! Moreover, it hs to be stressed tht when the extent of pipelining continues to be rised, the extr registers will eventully increse the re nd power consumption more thn wht cn be motivted by the gin in clock speed nd mximl smple rte. Still, studies t the Univ. of Achen (group of Tobis Noll) hve shown tht (not too hevy) pipelining significntly reduces spurious switching due to hzrds inside the pipeline sections. Overll the effect on power is positive. Moreover, gted clocking techniques should be employed to reduce the power overhed if no ctivity is needed in prticulr section of the logic Prllelism on the bit- or word-level In principle, spce cn be exchnged for time during the rchitecturl explortion by number of techniques. This cn hppen either by sequentil tretment of the bits (or groups of bits) within word, or of the words in ( prt of) n lgorithm. Both options will be nlyzed in more detil in subsection It hs to be stressed here tht the selection of the wy to perform this re-power-time trde-off is THE most crucil issue in rchitecturl design of rel-time signl processing systems. Moreover, this sttement pplies lso lrgely for the design of other types of systems Methodologies for efficient time multiplexing. In order to clrify the bsic options for time multiplexing or hrdwre shring, nd the methods to select between them, we will mke some ssumptions tht simplify the issue considerbly. In prticulr, it is ssumed tht the overhed of communiction, storge nd control cost is neglected, unless when mentioned explicitly. Moreover, we will mostly del with uni-dimensionl (sclr type) signls, except for few input signls stored in seprte memory. Tking into ccount these other considertions lso would led us too fr here, but it hs to be stressed of course tht prcticl methodology, pplicble for rel-life designs, should incorporte these complicting fctors too Bsic method In this course, our bsic pproch for time-multiplexing t the rchitecture level is the following:. Derive n initil hrd-wired rchitecture by substituting every opertion in the SFGDDG with the corresponding opertor vilble in the building block librry. If no suitble opertor is vilble, then the high-level opertion hs to be expnded into more primitive opertions. b. Optimize the mximlly chievble clock rte F cl by incresing the pipeline level. This will lso be beneficil for the power consumption (due to the bovementioned reduction of spurious switching) for execution of the periodiclly repeted signl processing ppliction. It will led to n incresed mximl smple rte F s. If recursive bottle-necks re present, the chievble clock rte will not be

14 April 9, 2000 DIS chpter 23 incresed in those however. As result, the mximl smple rte cn differ for different prts of the system. c. Evlute which prts of the design re: - too fst: sve re by shring hrdwre t the bit- or word-level (see below). Avoid too extreme multiplexing (shring) becuse tht will increse the power. A good trde-off between re nd power requires CAD tool support however. - too slow: trnsform the initil SFGDDG to increse the vilble prllelism. This is possible by e.g. unrolling loops responsible for recursive bottle-necks, possibly combined with resubstitution of lgebric sttements (so-clled lookhed trnsformtions). This step is however lrgely prt of the lgorithmic design trjectory where lgorithmic trnsformtions re pplied to remove the redundnt opertions in the ppliction nd to improve the concurrency Principle of bitdigit-seril design An importnt option for hrdwre shring is the sequentil tretment of the WL bits in signl (word) in time. This is illustrted in Fig.3.0- for 3-bit ddition. If every bit in word is processed with individul hrdwre nd communicted over seprte wire, we cll this bit-prllel computtion (Fig.3.0). Then, every cycle full 3-bit word is produced. On the other hrd, if ll the bits re treted in sequence on single hrdwre unit (usully lest- significnt bit or LSB first) requiring one clock cycle per bit, bit- seril mode is used (Fig.3.). Now, WL clock cycles re necessry to produce the full word. However, pipelining cn hppen t very fine grnulrity so the chievble clock rtes re higher thn for the bit-prllel cse. A problem tht occurs in this cse is the initilistion of the crry bit. In order to solve this, strt signl to control the crry-in hs to be provided which goes high every three cycles (Fig.3.b). c 0 0 b 0 b 2 b 2 c c 2 s 0 s s2 MSB LSB c 0 c c 2 c in 0 b 0 s 0 b s 2 b 2 s 2 c in Fig.3.0 Principle of bit-prllel ddition

15 April 9, 2000 DIS chpter 24 i s i b i c i Strt: Strt () (b) Fig.3. Principle of bit-seril ddition: dt-pth () control signl (b) Idelly, the re cn be WL times smller nd the clock rte cn be WL times fster for the bit-seril cse compred to fully bit-prllel relistion. However, in prctice, the dditionl register cost nd the mny wys of speeding up lso bit-prllel hrdwre complicte detiled comprison. Typiclly, the mximl clock rte of bitseril hrdwre lies between 20 nd 50 MHz, which mens tht the seril smple rte t word level is still lower thn wht cn be chieved in bit-prllel rchitectures. Also the issue of power consumption is not esy to nswer in generl. The power consumed by the rithmetic is lrgely unchnged, but the cpcitive lod increses nd lso the logic overhed requires more power. In between these two extremes, rnge of digit- seril lterntives is vilble where k digits of WLk bits ech re processed sequentilly. This is illustrted in Fig.3.2 for n ddition bsed on digits of 2 bits. Similr considertions for re, clock rte, smple rte nd power pply s for the pure bit-seril cse. s i i b i c c i- i i- s i- b i- Strt Fig.3.2 Principle of digit-seril ddition for digits of 2 bits We cn summrize s follows: if given mount of dt hs to be processed in given smple period, the trde-off will be in fvour of digit- or bit-seril if the rtio =F cl F s llows n efficient use of the hrdwre. The ltter is when WL-bit wide opertors mke sense nd when the re nd power consumption overhed introduced by converting the opertors to bit- seril mode is not too high. As result, the pproch is mostly restricted to modulr liner opertions becuse non-liner rithmetic nd decision-mking re difficult to combine with prtitioning words into groups of bits: they require complex control. Moreover, problem occurs in

16 April 9, 2000 DIS chpter 25 lgorithms tht contin itertions or loops: in recursive structures such s ccumultors, register overhed is introduced becuse full word- dely hs to be foreseen in the feed-bck pths (see exmple of digitl filter in section 3.3). As result, the bit-seril rchitecture is mostly suited for ll types of digitl filters nd similr pplictions Bit-seril design methodology The following pproch is not necessrily leding to "optiml" solutions but it is simple to pply mnully.. The strt is n optimized bit-prllel (hrd-wired) rchitecture or SBD. b. IF =F cl F s WL THEN bit-seril ELSE digit-seril over WL bits. c. Substitute ll prllel opertors by seril opertors. Severl librries re fesible for this. In this course, it is ssumed tht ll seril opertors re internlly pipelined to ensure high clock rte. As result so-clled "rithmetic dely" is ssigned to every opertor (Fig.3.3). Prllel oper Seril oper. Arithmetic dely b s i b i c i s i bit - z Strt(LSB) WL-bit shift reg WL bit 2 -k Bit-repeter Strt (MSB) Stop (MSBk) k bit Fig.3.3 Librry of bit-seril building blocks Notes: - the STAT control signl for the dder goes high when the LSB's enter the dder - WL bit rithmetic dely is ssigned to smple dely t the word level. - (k)-bit dely is ssigned to down-shifter over k bits.

17 April 9, 2000 DIS chpter 26 The ltter is necessry due to the physicl opertion of twos-complement down-shift where the k LSB's hve to be overwritten with more significnt bits nd where the sign hs to be extended (repeted) over k bits fter the sign bit hs pssed, hence the nme "bit-repeter". The STAT nd STOP control signls steer the extension of the sign bit. It is ssumed tht the STAT signls goes high only when the MSB bit enters the bit-repeter nd the STOP goes high once exctly k clock cycles lter, i.e. while the LSB bits of the next word hve lredy entered the bit-repeter. The reson why ll control signls hve been chosen to be "pulses" going high exctly one cycle is to simplify the controller which will become more cler in Chpter 5. Brin teser : why re k bits of dely needed for the opertion of the shifter itself, without tking into ccount the extr bit due to the pipelining. d. In order to compenste for the rithmetic delys, which re needed in the opertors present in the SBD, the vilble "lgorithmic delys" due to the initil z - delys hve to be distributed over the rchitecture. This is lso clled "dely mngement". Note tht dditionl delys re ssumed to be "entered" from the input nd output nodes. As result of this dely mngement, so clled "shimming delys" will be dded into some of the rcs in the SBD to compenste for differences in rithmetic delys over prllel pths in the grph. Severl solutions re fesible in generl nd mny methods re vilble to chieve this dely distribution. In order to chieve "optiml" results, CAD tools re needed s the problem is NP-complete. An exmple of such tool is the COMPASS progrm developed t IMEC [Goo85]. A prticulrly simple method to pply mnully is to use "potentils". Definition: the potentil of node is the number of the control pulse under which the LSB bits of ll signls (bit-seril words) pss on tht node. Here, the control pulses re ssumed to be periodic signls with period WL becuse ll events re repeted within tht intervl. They re numbered from 0 to WL-. The 0 potentil is ssigned to reference node. For multi-rte systems this definition cn be extended. These potentils re ssigned to ll nodes in the SBD tking into ccount the following rules: - strt from 0 for the outputs of smple delys, or n input nodes if no smple delys re present, nd count upwrds for the other nodes on the pths brnching off from the delys. - the potentil for the output node for n opertor is the mximl potentil of its inputs PLUS the rithmetic dely ssocited with it - IF the input potentils for n opertor with more thn input node differ THEN ssign shimming delys to compenste for this difference. - IF the finl potentil P t the input of smple dely is smller thn or equl to WL THEN substitute the WL-bit dely by (WL-P)-bits ELSE dditionl bit delys hve to be moved to this node EITHE by removing them from other prts of the flow-grph O by incresing the overll word-length which is quite costly s it requires lso converters t the globl inputs nd outputs to compenste for the chnge in signl types.

18 April 9, 2000 DIS chpter 27 - t the end, the finl potentils re recomputed in modulo rithmetic with bse of WL. Note however tht the number of delys present in loops should remin equl to the number of lgorithmic delys present in the initil bit-prllel SBD times WL. Otherwise, n infesible solution would be produced. Pipelined bit-prllel rch. 8 b 8-8 c ssume 8 x too fst-> bit-seril b 7 5 c B4b Fig.3.4 Illustrtion of bit-seril design methodology out - 6 c 2 An exmple to illustrte this method is shown in Fig.3.4. The number of rithmetic delys ssocited with ech opertor is indicted in bold below the opertor symbol. The potentils ssigned to ech node re indicted bove the corresponding rc in plin letters. Note the ddition of 5 shimming delys (shded) to compenste for the difference in potentil between the inputs of the subtrctor Principle of word-seril design Different opertions within given lgorithm cn be multiplexed in time on the sme opertor whenever the rtio =[F cl F s ] (rounded to the nerest lower integer) is higher thn. For instnce, if 0 multiplictions hve to be performed in cscde nd if the rtio =0, we cn use single multiplier sequentilly (word seril mode s opposed to word prllel). As result, the clock rte is bout constnt, except for the dditionl communiction delys, resulting in fctor reduction of the smple rte. However, the re

19 April 9, 2000 DIS chpter 28 decreses with bout the sme fctor for the rithmetic opertors, resulting in perfect re-time trde-off. Hence, the power consumption budget remins lmost unchnged. Unfortuntely, dditionl storge is needed if the intermedite results hve to be retined, so the mount of "stte" memory cn never be multiplexed. Moreover, dditionl control is needed to steer the communiction of the results. As result, the re-power-time trde-off is gin complicted for the complete rchitecture through the effect of the memory nd controller cost. Still, the re nd power overhed is usully smller thn in the bit-seril cse when decision-mking or non-liner opertions re present. This is illustrted for simple exmple in Fig.3.5. b - out mux control 8 bc 8 - out Fig.3.5 Illustrtion of word-seril rchitecture Word-seril design methodology Our bsic pproch for this will be to multiplex groups of similr opertions on the sme (dt-pth) hrdwre. This is chieved through the following steps:. Strt from n SFG which is optimized for mximlly chievble clock rte by dding pipeline delys (see bit-seril cse). b. Prtition the SFG in clusters of opertions which re similr in terms of the signl types (e.g. integer word-length), opertion types nd connections (shpe of the grph). Hence, these clusters cn be sequentilly executed on the sme hrdwre with little overhed. A mjor requirement is tht mximlly =FclFs clusters re shred on the sme unit.

20 April 9, 2000 DIS chpter 29 X - eg - cluster eg Z - X 0.5 The strting point for finding these clusters re the bodies of (criticl) loops nd conditions which re prt of the control flow, or functions provided by the user which indicte repetition. The remining, less criticl prts of the lgorithm re then ssigned to units tht re not yet fully utilized for ll vilble time slots. cluster Y Sel2 Sel Z - z - - Y () (b) Fig.3.6 Illustrtion of word-seril method: cluster selection () resulting ASU (b) An exmple illustrting this principle for the 2nd order digitl filter of Fig.2.3 is shown in Fig.3.6 for the cse when =2 (n illustrtion of the detiled ppliction of the steps will be distributed during the exercise sessions). Note the cluster boundries tht re selected to contin the function of the two st order segments out of which the 2nd order section is composed. In order to mke them more similr, trnsformtion hs been pplied first on the originl coefficient 0.25, which hs been decomposed into 0.5x0.5, followed by move of the common 0.5 fctor from both coefficients up to the input of the dder. Another trnsformtion hs been pplied to the upper z- smple dely, which hs been decomposed into two clock delys (registers) nd then distributed over the boundries between the clusters in order to mke time shring possible. It should be noted tht this splitting of delys is specil cse which is only needed for flow-grphs which re prtitioned into clusters breking directed loops (see exercises). In the simple exmple of Fig.3.5, this is not needed. c. Once the clusters ssigned to the sme unit hve been selected, the ctul composition of this unit cn be derived from the signl types, the opertions to be executed nd their connections. A good pproch is to strt from the most complex grph nd derive from this the "initil unit" by substituting ll opertions by their corresponding hrd-wired opertors. Next, ll other clusters re mtched onto this initil unit until the finl unit is cpble of executing ll of them. In this process, grdully more progrmmbility is dded in the opertors nd the connections. This pproch leds to customized, so clled "ppliction-specific units" or ASU's s long s the multiplexing fctor is low. An exmple for the filter is shown in Fig.3.6b. In order to derive this ASU, n initil unit bsed on e.g. the first cluster cn be selected resulting in hrd-wired shifter over 2 nd hrd-wired dder. By mtching lso cluster 2 onto this initil unit, we rrive t the finl ASU where the dder becomes lowly progrmmble ddersubtrctor nd where the smple dely hs to be bypssed or not by the multiplexer controlled with Sel. Note the very limited flexibility needed for the shift nd dd-type opertors nd the very restricted progrmmbility in the connections.

21 April 9, 2000 DIS chpter 30 d. When the composition of the ASU's is known, nd the scheduling of the execution modes is fixed, the controller to steer ll the rithmetic opertors nd the multiplexers cn be designed. Due to the limited mount of different control signls needed nd the usully high-speed requirements, hrd-wired but hierrchiclly decomposed controller is usully preferred. Methods for this will be treted in Chpter 5. All these steps re fesible to pply mnully s long s the exmples remin simple. However, rel-life pplictions require dvnced CAD tools to support such design methodology. A CAD environment supporting the methodology described bove while llowing extensive user interction hs been developed t IMEC in the CATHEDAL-III project (see lso CAD prt, Chpter 3) Combining the pproches Prllelism t bit- nd word-level cn of course be combined, resulting in the 4 cses illustrted in Fig.3.7. Here, m independent opertions (e.g. with different input sources) on n-bit wide words re ssumed. The figure illustrtes the re-time trdeoff with the number of wires in spce nd the number of clock cycles in time.

22 April 9, 2000 DIS chpter 3 BPWS m time BPWP time n Spce n wire n * m n n m Spce BSWP n time m wires Spce Spce BSWS m*n n m wires time wire Fig.3.7 Illustrtion of bit-seril (BS) - bit-prllel (BP) nd word-seril (WS) - wordprllel (WP) combintion Note though tht the trde-offs between these options re much more complicted in rel-life pplictions thn wht is suggested by the bsic principles summrized in this figure.

23 April 9, 2000 DIS chpter Initil DDG. Initil rchitecture b c - - out b c out 2. Pipelined rchitecture b c - out 3. Assume 8 x too fst-> bit-seril b c - out 4. Assume 2x too fst -> word-seril 8 bc 8 - out 8 Fig.3.8 Illustrtion of bit-seril nd word-seril design methods For the power, the trde-off is more complex still. The min reson is the impct of spurious switching (prtly restricted by the dditionl pipelining proposed in step of our overll methodology). Another issue is the dt correltion, which obscures the picture. If no correltion is present, the power trend will lrgely follow the re trend but in prctice this is too pessimistic for the power xis. Tools re needed however to incorporte this in rel designs. A third mjor issue which complictes the power trde-off is the mjor effect of the V dd choice, due to the 0.5*C*V dd *V sw *F formul, where the voltge swing V sw is usully equl to V dd. For rel-time processing, the best pproch is probbly to fix the V dd t the lowest possible vlue which is technologiclly cceptble (bsed on noise mrgin nd lekge criteri). For this V dd,

24 April 9, 2000 DIS chpter 33 the bove mentioned methodology cn then be pplied gin. For hevily dtdependent pplictions where the timing is not fixed, this is fr from optiml however. Then it is better to provide higher V dd vlues during periods of hevy execution loding nd miniml V dd during non-time criticicl periods, s proposed by Annth Chndrksn et l. t MIT nd Bob Brodersen et l. t U.C.Berkeley. An exmple which illustrtes the two design methods for bit-seril nd word-seril rchitectures, is shown in Fig.3.8. Note the reltively smll overhed for the bit-seril cse. This is minly due to the fct tht the initil DDG meets the requirements for nice bit-seril pplictions: liner, no decisions, no recursion. The word-seril solution for multiplexing fctor of 2 is quite optimized lso, but problem would occur of course if we hve to find more thn 2 similr clusters which cn be mtched on the sme unit. In this cse, we would hve to go to digit-seril or, if the fctor is even lrger thn 8, we hve to combine the 2 multiplexing pproches. The mximl hrdwre shring fctor in this exmple equls 6 resulting in purely bit-serilwordseril rchitecture. The hevily shred bit-seril ddersubtrctor is then quite smll but the problem is situted in the very lrge overhed in terms of storge (registers), communiction (mux, bus) nd control. Obviously, going to n extreme hrdwre shring is not optiml t ll in this cse nd more efficient solution with reduced time multiplexing would be preferble Terminology for word-seril rchitectures (Fig.3.3) The cost of time multiplexing typiclly depends hevily on the reusbility of hrdwre nd on the rtio. If the lgorithm is very modulr or when is low, usully enough opertions cn be found which re similr enough in nture to profit from multiplexing. In tht cse, compct nd specilized opertors connected in hrdwired fshion with limited number of multiplexers cn do the job. This pproch results in hrd-wired lowly multiplexed dt-pth rchitecture bsed on ASU's s derived bove. It fetures smll overhed in logic: few multiplexers, few lowly progrmmble opertors, nd little control. This is typiclly the cse for medium-level imge nd video processing subsystems s illustrted in Fig.3.. The sme pplies for front-end udio nd telecom modules. This is the CATHEDAL-III domin. However, if the rtio is very lrge compred to the regulrity in the lgorithm, the opertors hve to become more flexible nd in the end, "universl" opertor such s fully progrmmble rithmetic-nd-logic unit (ALU) or ddress computtion unit (ACU) will hve to be provided. In tht cse, lso more progrmmble connections (multiplexers, busses) re required leding to highly multiplexed processor rchitecture with execution unit type dt-pths. Usully the controller is chosen to be of the microcoded type (see Chpter 5). The disdvntge of these processors is locted in their overhed, both in terms of the progrmmble opertors nd the dditionl control nd communiction hrdwre. However, for lrge rtio's, they provide the only efficient solution. Typicl ppliction domins for this style re situted in bck-end video nd imge processing (Fig.3.) but lso bck-end udio, user-end telecom nd utomotive processing contin such modules. This is the CATHEDAL-II domin (see CAD course, Chpter 3). Sometimes, the number of opertions to be executed per incoming smple is very high while the smple rte pproches the chievble clock rte. Fortuntely, the lgorithm to be executed is then usully very regulr. This is for instnce the cse for mny

25 April 9, 2000 DIS chpter 34 front-end imge or rdr processing (Fig.3.) subsystems. Then, we need very prllel nd modulr rchitectures, which efficiently exploit the inherent prllelism vilble. A typicl exmple of this clss re regulr rrys where the communiction between the "mtrix" of processing units is fully regulr nd loclized (Fig.3.9). If ll the locl connections between neighbours re fully pipelined nd if ll units re identicl, soclled "systolic rry" is designed [Kun82]. When the pipelining is chieved by n synchronous dt-flow mechnism (subsection 3.2.3), it becomes wve-front rry [Kun87]. I n p u t D t Fig.3.9 egulr rry style PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE O u t p u t D t It should be noted tht for generl-purpose progrmmble solutions, the nomenclture for the 3 rchitecturl styles described bove is different [Flyn66]. In tht cse, the distinction is mde bsed on single or multiple dt (SD or MD) which re controlled by single or multiple instructions (SI or MI). With this terminology, regulr D or 2D rrys (e.g. systolic) re SIMD mchines. A single lowly or highly multiplexed dtpth represents MISD unit nd rrys of independently controlled MISD's form n MIMD mchine (see lso section 3.4). The efficient exploittion of prllelism t the bit nd word level is one of the mjor chllenges in present dy VLSI design. The detection of prllelism inherently vilble in complex lgorithms is very complex problem nd topic of much reserch. This reserch is the bsis for new genertions of computer rchitectures tht will eventully form the bsis for rtificil intelligence mchines of the so clled 5th genertion.

CHAPTER 2 LITERATURE STUDY

CHAPTER 2 LITERATURE STUDY CHAPTER LITERATURE STUDY. Introduction Multipliction involves two bsic opertions: the genertion of the prtil products nd their ccumultion. Therefore, there re two possible wys to speed up the multipliction:

More information

MAXIMUM FLOWS IN FUZZY NETWORKS WITH FUNNEL-SHAPED NODES

MAXIMUM FLOWS IN FUZZY NETWORKS WITH FUNNEL-SHAPED NODES MAXIMUM FLOWS IN FUZZY NETWORKS WITH FUNNEL-SHAPED NODES Romn V. Tyshchuk Informtion Systems Deprtment, AMI corportion, Donetsk, Ukrine E-mil: rt_science@hotmil.com 1 INTRODUCTION During the considertion

More information

Experiment 3: Non-Ideal Operational Amplifiers

Experiment 3: Non-Ideal Operational Amplifiers Experiment 3: Non-Idel Opertionl Amplifiers Fll 2009 Equivlent Circuits The bsic ssumptions for n idel opertionl mplifier re n infinite differentil gin ( d ), n infinite input resistnce (R i ), zero output

More information

Algorithms for Memory Hierarchies Lecture 14

Algorithms for Memory Hierarchies Lecture 14 Algorithms for emory Hierrchies Lecture 4 Lecturer: Nodri Sitchinv Scribe: ichel Hmnn Prllelism nd Cche Obliviousness The combintion of prllelism nd cche obliviousness is n ongoing topic of reserch, in

More information

Experiment 3: Non-Ideal Operational Amplifiers

Experiment 3: Non-Ideal Operational Amplifiers Experiment 3: Non-Idel Opertionl Amplifiers 9/11/06 Equivlent Circuits The bsic ssumptions for n idel opertionl mplifier re n infinite differentil gin ( d ), n infinite input resistnce (R i ), zero output

More information

Understanding Basic Analog Ideal Op Amps

Understanding Basic Analog Ideal Op Amps Appliction Report SLAA068A - April 2000 Understnding Bsic Anlog Idel Op Amps Ron Mncini Mixed Signl Products ABSTRACT This ppliction report develops the equtions for the idel opertionl mplifier (op mp).

More information

Solutions to exercise 1 in ETS052 Computer Communication

Solutions to exercise 1 in ETS052 Computer Communication Solutions to exercise in TS52 Computer Communiction 23 Septemer, 23 If it occupies millisecond = 3 seconds, then second is occupied y 3 = 3 its = kps. kps If it occupies 2 microseconds = 2 6 seconds, then

More information

Mixed CMOS PTL Adders

Mixed CMOS PTL Adders Anis do XXVI Congresso d SBC WCOMPA l I Workshop de Computção e Aplicções 14 20 de julho de 2006 Cmpo Grnde, MS Mixed CMOS PTL Adders Déor Mott, Reginldo d N. Tvres Engenhri em Sistems Digitis Universidde

More information

The Discussion of this exercise covers the following points:

The Discussion of this exercise covers the following points: Exercise 4 Bttery Chrging Methods EXERCISE OBJECTIVE When you hve completed this exercise, you will be fmilir with the different chrging methods nd chrge-control techniques commonly used when chrging Ni-MI

More information

Math Circles Finite Automata Question Sheet 3 (Solutions)

Math Circles Finite Automata Question Sheet 3 (Solutions) Mth Circles Finite Automt Question Sheet 3 (Solutions) Nickols Rollick nrollick@uwterloo.c Novemer 2, 28 Note: These solutions my give you the nswers to ll the prolems, ut they usully won t tell you how

More information

Synchronous Machine Parameter Measurement

Synchronous Machine Parameter Measurement Synchronous Mchine Prmeter Mesurement 1 Synchronous Mchine Prmeter Mesurement Introduction Wound field synchronous mchines re mostly used for power genertion but lso re well suited for motor pplictions

More information

Exercise 1-1. The Sine Wave EXERCISE OBJECTIVE DISCUSSION OUTLINE. Relationship between a rotating phasor and a sine wave DISCUSSION

Exercise 1-1. The Sine Wave EXERCISE OBJECTIVE DISCUSSION OUTLINE. Relationship between a rotating phasor and a sine wave DISCUSSION Exercise 1-1 The Sine Wve EXERCISE OBJECTIVE When you hve completed this exercise, you will be fmilir with the notion of sine wve nd how it cn be expressed s phsor rotting round the center of circle. You

More information

Synchronous Machine Parameter Measurement

Synchronous Machine Parameter Measurement Synchronous Mchine Prmeter Mesurement 1 Synchronous Mchine Prmeter Mesurement Introduction Wound field synchronous mchines re mostly used for power genertion but lso re well suited for motor pplictions

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-297 Technicl notes on using Anlog Devices DSPs, processors nd development tools Visit our Web resources http://www.nlog.com/ee-notes nd http://www.nlog.com/processors or e-mil

More information

CS 135: Computer Architecture I. Boolean Algebra. Basic Logic Gates

CS 135: Computer Architecture I. Boolean Algebra. Basic Logic Gates Bsic Logic Gtes : Computer Architecture I Boolen Algebr Instructor: Prof. Bhgi Nrhri Dept. of Computer Science Course URL: www.ses.gwu.edu/~bhgiweb/cs35/ Digitl Logic Circuits We sw how we cn build the

More information

Example. Check that the Jacobian of the transformation to spherical coordinates is

Example. Check that the Jacobian of the transformation to spherical coordinates is lss, given on Feb 3, 2, for Mth 3, Winter 2 Recll tht the fctor which ppers in chnge of vrible formul when integrting is the Jcobin, which is the determinnt of mtrix of first order prtil derivtives. Exmple.

More information

ECE 274 Digital Logic. Digital Design. Datapath Components Shifters, Comparators, Counters, Multipliers Digital Design

ECE 274 Digital Logic. Digital Design. Datapath Components Shifters, Comparators, Counters, Multipliers Digital Design ECE 27 Digitl Logic Shifters, Comprtors, Counters, Multipliers Digitl Design..7 Digitl Design Chpter : Slides to ccompny the textbook Digitl Design, First Edition, by Frnk Vhid, John Wiley nd Sons Publishers,

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-236 Technicl notes on using Anlog Devices DSPs, processors nd development tools Contct our technicl support t dsp.support@nlog.com nd t dsptools.support@nlog.com Or visit our

More information

Geometric quantities for polar curves

Geometric quantities for polar curves Roerto s Notes on Integrl Clculus Chpter 5: Bsic pplictions of integrtion Section 10 Geometric quntities for polr curves Wht you need to know lredy: How to use integrls to compute res nd lengths of regions

More information

ECE 274 Digital Logic

ECE 274 Digital Logic ECE - Digitl Logic (Textbook - Required) ECE Digitl Logic Instructor: Romn Lysecky, rlysecky@ece.rizon.edu Office Hours: TBA, ECE F Lecture: MWF :-: PM, ILC Course Website: http://www.ece.rizon.edu/~ece/

More information

ABB STOTZ-KONTAKT. ABB i-bus EIB Current Module SM/S Intelligent Installation Systems. User Manual SM/S In = 16 A AC Un = 230 V AC

ABB STOTZ-KONTAKT. ABB i-bus EIB Current Module SM/S Intelligent Installation Systems. User Manual SM/S In = 16 A AC Un = 230 V AC User Mnul ntelligent nstlltion Systems A B 1 2 3 4 5 6 7 8 30 ma 30 ma n = AC Un = 230 V AC 30 ma 9 10 11 12 C ABB STOTZ-KONTAKT Appliction Softwre Current Vlue Threshold/1 Contents Pge 1 Device Chrcteristics...

More information

METHOD OF LOCATION USING SIGNALS OF UNKNOWN ORIGIN. Inventor: Brian L. Baskin

METHOD OF LOCATION USING SIGNALS OF UNKNOWN ORIGIN. Inventor: Brian L. Baskin METHOD OF LOCATION USING SIGNALS OF UNKNOWN ORIGIN Inventor: Brin L. Bskin 1 ABSTRACT The present invention encompsses method of loction comprising: using plurlity of signl trnsceivers to receive one or

More information

MEASURE THE CHARACTERISTIC CURVES RELEVANT TO AN NPN TRANSISTOR

MEASURE THE CHARACTERISTIC CURVES RELEVANT TO AN NPN TRANSISTOR Electricity Electronics Bipolr Trnsistors MEASURE THE HARATERISTI URVES RELEVANT TO AN NPN TRANSISTOR Mesure the input chrcteristic, i.e. the bse current IB s function of the bse emitter voltge UBE. Mesure

More information

Redundancy Data Elimination Scheme Based on Stitching Technique in Image Senor Networks

Redundancy Data Elimination Scheme Based on Stitching Technique in Image Senor Networks Sensors & Trnsducers 204 by IFSA Publishing, S. L. http://www.sensorsportl.com Redundncy Dt Elimintion Scheme Bsed on Stitching Technique in Imge Senor Networks hunling Tng hongqing Technology nd Business

More information

Synchronous Generator Line Synchronization

Synchronous Generator Line Synchronization Synchronous Genertor Line Synchroniztion 1 Synchronous Genertor Line Synchroniztion Introduction One issue in power genertion is synchronous genertor strting. Typiclly, synchronous genertor is connected

More information

Kirchhoff s Rules. Kirchhoff s Laws. Kirchhoff s Rules. Kirchhoff s Laws. Practice. Understanding SPH4UW. Kirchhoff s Voltage Rule (KVR):

Kirchhoff s Rules. Kirchhoff s Laws. Kirchhoff s Rules. Kirchhoff s Laws. Practice. Understanding SPH4UW. Kirchhoff s Voltage Rule (KVR): SPH4UW Kirchhoff s ules Kirchhoff s oltge ule (K): Sum of voltge drops round loop is zero. Kirchhoff s Lws Kirchhoff s Current ule (KC): Current going in equls current coming out. Kirchhoff s ules etween

More information

Three-Phase Synchronous Machines The synchronous machine can be used to operate as: 1. Synchronous motors 2. Synchronous generators (Alternator)

Three-Phase Synchronous Machines The synchronous machine can be used to operate as: 1. Synchronous motors 2. Synchronous generators (Alternator) Three-Phse Synchronous Mchines The synchronous mchine cn be used to operte s: 1. Synchronous motors 2. Synchronous genertors (Alterntor) Synchronous genertor is lso referred to s lterntor since it genertes

More information

(CATALYST GROUP) B"sic Electric"l Engineering

(CATALYST GROUP) Bsic Electricl Engineering (CATALYST GROUP) B"sic Electric"l Engineering 1. Kirchhoff s current l"w st"tes th"t (") net current flow "t the junction is positive (b) Hebr"ic sum of the currents meeting "t the junction is zero (c)

More information

EE Controls Lab #2: Implementing State-Transition Logic on a PLC

EE Controls Lab #2: Implementing State-Transition Logic on a PLC Objective: EE 44 - Controls Lb #2: Implementing Stte-rnsition Logic on PLC ssuming tht speed is not of essence, PLC's cn be used to implement stte trnsition logic. he dvntge of using PLC over using hrdwre

More information

Chapter 2 Literature Review

Chapter 2 Literature Review Chpter 2 Literture Review 2.1 ADDER TOPOLOGIES Mny different dder rchitectures hve een proposed for inry ddition since 1950 s to improve vrious spects of speed, re nd power. Ripple Crry Adder hve the simplest

More information

A Development of Earthing-Resistance-Estimation Instrument

A Development of Earthing-Resistance-Estimation Instrument A Development of Erthing-Resistnce-Estimtion Instrument HITOSHI KIJIMA Abstrct: - Whenever erth construction work is done, the implnted number nd depth of electrodes hve to be estimted in order to obtin

More information

DP400 / DM350. Inverter. Total Solutions from the Single Source Provider DP400 PULSED MAG - PULSED MIG CO2 - MAG - MIG - FCAW

DP400 / DM350. Inverter. Total Solutions from the Single Source Provider DP400 PULSED MAG - PULSED MIG CO2 - MAG - MIG - FCAW DP400 / DM350 Digitl Controlled DC Inverter Arc Welding Mchines CAT. NO. A446 Simple Opertion Perfect Welds from Arc Strt to End Inverter Totl Solutions from Single Source Provider DP400 PULSED MAG - PULSED

More information

Dataflow Language Model. DataFlow Models. Applications of Dataflow. Dataflow Languages. Kahn process networks. A Kahn Process (1)

Dataflow Language Model. DataFlow Models. Applications of Dataflow. Dataflow Languages. Kahn process networks. A Kahn Process (1) The slides contin revisited mterils from: Peter Mrwedel, TU Dortmund Lothr Thiele, ETH Zurich Frnk Vhid, University of liforni, Riverside Dtflow Lnguge Model Drsticlly different wy of looking t computtion:

More information

& Y Connected resistors, Light emitting diode.

& Y Connected resistors, Light emitting diode. & Y Connected resistors, Light emitting diode. Experiment # 02 Ojectives: To get some hndson experience with the physicl instruments. To investigte the equivlent resistors, nd Y connected resistors, nd

More information

Y9.ET1.3 Implementation of Secure Energy Management against Cyber/physical Attacks for FREEDM System

Y9.ET1.3 Implementation of Secure Energy Management against Cyber/physical Attacks for FREEDM System Y9.ET1.3 Implementtion of Secure Energy ngement ginst Cyber/physicl Attcks for FREED System Project Leder: Fculty: Students: Dr. Bruce cillin Dr. o-yuen Chow Jie Dun 1. Project Gols Develop resilient cyber-physicl

More information

Application Note. Differential Amplifier

Application Note. Differential Amplifier Appliction Note AN367 Differentil Amplifier Author: Dve n Ess Associted Project: Yes Associted Prt Fmily: CY8C9x66, CY8C7x43, CY8C4x3A PSoC Designer ersion: 4. SP3 Abstrct For mny sensing pplictions, desirble

More information

First Round Solutions Grades 4, 5, and 6

First Round Solutions Grades 4, 5, and 6 First Round Solutions Grdes 4, 5, nd 1) There re four bsic rectngles not mde up of smller ones There re three more rectngles mde up of two smller ones ech, two rectngles mde up of three smller ones ech,

More information

Module 9. DC Machines. Version 2 EE IIT, Kharagpur

Module 9. DC Machines. Version 2 EE IIT, Kharagpur Module 9 DC Mchines Version EE IIT, Khrgpur esson 40 osses, Efficiency nd Testing of D.C. Mchines Version EE IIT, Khrgpur Contents 40 osses, efficiency nd testing of D.C. mchines (esson-40) 4 40.1 Gols

More information

CHAPTER 3 AMPLIFIER DESIGN TECHNIQUES

CHAPTER 3 AMPLIFIER DESIGN TECHNIQUES CHAPTER 3 AMPLIFIER DEIGN TECHNIQUE 3.0 Introduction olid-stte microwve mplifiers ply n importnt role in communiction where it hs different pplictions, including low noise, high gin, nd high power mplifiers.

More information

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN fall 2008

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN fall 2008 CS224 DIGITAL LOGIC & STATE MACHINE DESIGN fll 28 STAND ALONE XILINX PROJECT 2-TO- MULTIPLEXER. Gols : Lern how to develop stnd lone 2-to- multiplexer () Xilinx project during which the following re introduced

More information

Design And Implementation Of Luo Converter For Electric Vehicle Applications

Design And Implementation Of Luo Converter For Electric Vehicle Applications Design And Implementtion Of Luo Converter For Electric Vehicle Applictions A.Mnikndn #1, N.Vdivel #2 ME (Power Electronics nd Drives) Deprtment of Electricl nd Electronics Engineering Sri Shkthi Institute

More information

High Speed On-Chip Interconnects: Trade offs in Passive Termination

High Speed On-Chip Interconnects: Trade offs in Passive Termination High Speed On-Chip Interconnects: Trde offs in Pssive Termintion Rj Prihr University of Rochester, NY, USA prihr@ece.rochester.edu Abstrct In this pper, severl pssive termintion schemes for high speed

More information

Multi-beam antennas in a broadband wireless access system

Multi-beam antennas in a broadband wireless access system Multi-em ntenns in rodnd wireless ccess system Ulrik Engström, Mrtin Johnsson, nders Derneryd nd jörn Johnnisson ntenn Reserch Center Ericsson Reserch Ericsson SE-4 84 Mölndl Sweden E-mil: ulrik.engstrom@ericsson.com,

More information

Eliminating Non-Determinism During Test of High-Speed Source Synchronous Differential Buses

Eliminating Non-Determinism During Test of High-Speed Source Synchronous Differential Buses Eliminting Non-Determinism During of High-Speed Source Synchronous Differentil Buses Abstrct The t-speed functionl testing of deep sub-micron devices equipped with high-speed I/O ports nd the synchronous

More information

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 4143/5195 Electrical Machinery Fall 2009

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 4143/5195 Electrical Machinery Fall 2009 Problem 1: Using DC Mchine University o North Crolin-Chrlotte Deprtment o Electricl nd Computer Engineering ECGR 4143/5195 Electricl Mchinery Fll 2009 Problem Set 4 Due: Thursdy October 8 Suggested Reding:

More information

Energy Harvesting Two-Way Channels With Decoding and Processing Costs

Energy Harvesting Two-Way Channels With Decoding and Processing Costs IEEE TRANSACTIONS ON GREEN COMMUNICATIONS AND NETWORKING, VOL., NO., MARCH 07 3 Energy Hrvesting Two-Wy Chnnels With Decoding nd Processing Costs Ahmed Arf, Student Member, IEEE, Abdulrhmn Bknin, Student

More information

Lecture 16: Four Quadrant operation of DC Drive (or) TYPE E Four Quadrant chopper Fed Drive: Operation

Lecture 16: Four Quadrant operation of DC Drive (or) TYPE E Four Quadrant chopper Fed Drive: Operation Lecture 16: Four Qudrnt opertion of DC Drive (or) TYPE E Four Qudrnt chopper Fed Drive: Opertion The rmture current I is either positive or negtive (flow in to or wy from rmture) the rmture voltge is lso

More information

Area-Time Efficient Digit-Serial-Serial Two s Complement Multiplier

Area-Time Efficient Digit-Serial-Serial Two s Complement Multiplier Are-Time Efficient Digit-Seril-Seril Two s Complement Multiplier Essm Elsyed nd Htem M. El-Boghddi Computer Engineering Deprtment, Ciro University, Egypt Astrct - Multipliction is n importnt primitive

More information

Network Sharing and its Energy Benefits: a Study of European Mobile Network Operators

Network Sharing and its Energy Benefits: a Study of European Mobile Network Operators Network Shring nd its Energy Benefits: Study of Europen Mobile Network Opertors Mrco Ajmone Mrsn Electronics nd Telecommunictions Dept Politecnico di Torino, nd Institute IMDEA Networks, mrco.jmone@polito.it

More information

Sequential Logic (2) Synchronous vs Asynchronous Sequential Circuit. Clock Signal. Synchronous Sequential Circuits. FSM Overview 9/10/12

Sequential Logic (2) Synchronous vs Asynchronous Sequential Circuit. Clock Signal. Synchronous Sequential Circuits. FSM Overview 9/10/12 9//2 Sequentil (2) ENGG5 st Semester, 22 Dr. Hden So Deprtment of Electricl nd Electronic Engineering http://www.eee.hku.hk/~engg5 Snchronous vs Asnchronous Sequentil Circuit This Course snchronous Sequentil

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-247 Technicl notes on using Anlog Devices DSPs, processors nd development tools Contct our technicl support t dsp.support@nlog.com nd t dsptools.support@nlog.com Or visit our

More information

Spiral Tilings with C-curves

Spiral Tilings with C-curves Spirl Tilings with -curves Using ombintorics to Augment Trdition hris K. Plmer 19 North Albny Avenue hicgo, Illinois, 0 chris@shdowfolds.com www.shdowfolds.com Abstrct Spirl tilings used by rtisns through

More information

Digital Design. Chapter 1: Introduction

Digital Design. Chapter 1: Introduction Digitl Design Chpter : Introduction Slides to ccompny the textbook Digitl Design, with RTL Design, VHDL, nd Verilog, 2nd Edition, by, John Wiley nd Sons Publishers, 2. http://www.ddvhid.com Copyright 2

More information

Convolutional Networks. Lecture slides for Chapter 9 of Deep Learning Ian Goodfellow

Convolutional Networks. Lecture slides for Chapter 9 of Deep Learning Ian Goodfellow Convolutionl Networks Lecture slides for Chpter 9 of Deep Lerning In Goodfellow 2016-09-12 Convolutionl Networks Scle up neurl networks to process very lrge imges / video sequences Sprse connections Prmeter

More information

PB-735 HD DP. Industrial Line. Automatic punch and bind machine for books and calendars

PB-735 HD DP. Industrial Line. Automatic punch and bind machine for books and calendars PB-735 HD DP Automtic punch nd bind mchine for books nd clendrs A further step for the utomtion of double loop binding. A clever nd flexible mchine ble to punch nd bind in line up to 9/16. Using the best

More information

Ultra Low Cost ACCELEROMETER

Ultra Low Cost ACCELEROMETER Chip Scle Pckged Fully Integrted Therml Accelerometer MXC622xXC Rev,A 8/19/2011 Pge 1 of 13 Fetures Generl Description Fully Integrted Therml Accelerometer X/Y Axis, 8 bit, Accelertion A/D Output (± 2g)

More information

ECE 274 Digital Logic Fall 2009 Digital Design

ECE 274 Digital Logic Fall 2009 Digital Design igitl Logic ll igitl esign MW -:PM, IL Romn Lysecky, rlysecky@ece.rizon.edu http://www.ece.rizon.edu/~ece hpter : Introduction Slides to ccompny the textbook igitl esign, irst dition, by rnk Vhid, John

More information

A New Stochastic Inner Product Core Design for Digital FIR Filters

A New Stochastic Inner Product Core Design for Digital FIR Filters MATEC Web of Conferences, (7) DOI:./ mtecconf/7 CSCC 7 A New Stochstic Inner Product Core Design for Digitl FIR Filters Ming Ming Wong,, M. L. Dennis Wong, Cishen Zhng, nd Ismt Hijzin Fculty of Engineering,

More information

Compared to generators DC MOTORS. Back e.m.f. Back e.m.f. Example. Example. The construction of a d.c. motor is the same as a d.c. generator.

Compared to generators DC MOTORS. Back e.m.f. Back e.m.f. Example. Example. The construction of a d.c. motor is the same as a d.c. generator. Compred to genertors DC MOTORS Prepred by Engr. JP Timol Reference: Electricl nd Electronic Principles nd Technology The construction of d.c. motor is the sme s d.c. genertor. the generted e.m.f. is less

More information

Ultra Low Cost ACCELEROMETER

Ultra Low Cost ACCELEROMETER Chip Scle Pckged Digitl Therml Orienttion Sensing Accelerometer MXC6226XC Document Version D Pge 1 of 13 Fetures Generl Description Fully Integrted Therml Accelerometer X/Y Axis, 8 bit, Accelertion A/D

More information

To provide data transmission in indoor

To provide data transmission in indoor Hittite Journl of Science nd Engineering, 2018, 5 (1) 25-29 ISSN NUMBER: 2148-4171 DOI: 10.17350/HJSE19030000074 A New Demodultor For Inverse Pulse Position Modultion Technique Mehmet Sönmez Osmniye Korkut

More information

The Math Learning Center PO Box 12929, Salem, Oregon Math Learning Center

The Math Learning Center PO Box 12929, Salem, Oregon Math Learning Center Resource Overview Quntile Mesure: Skill or Concept: 300Q Model the concept of ddition for sums to 10. (QT N 36) Model the concept of sutrction using numers less thn or equl to 10. (QT N 37) Write ddition

More information

Section Thyristor converter driven DC motor drive

Section Thyristor converter driven DC motor drive Section.3 - Thyristor converter driven DC motor drive.3.1 Introduction Controllble AC-DC converters using thyristors re perhps the most efficient nd most robust power converters for use in DC motor drives.

More information

Study on SLT calibration method of 2-port waveguide DUT

Study on SLT calibration method of 2-port waveguide DUT Interntionl Conference on Advnced Electronic cience nd Technology (AET 206) tudy on LT clibrtion method of 2-port wveguide DUT Wenqing Luo, Anyong Hu, Ki Liu nd Xi Chen chool of Electronics nd Informtion

More information

Joanna Towler, Roading Engineer, Professional Services, NZTA National Office Dave Bates, Operations Manager, NZTA National Office

Joanna Towler, Roading Engineer, Professional Services, NZTA National Office Dave Bates, Operations Manager, NZTA National Office . TECHNICA MEMOANDM To Cc repred By Endorsed By NZTA Network Mngement Consultnts nd Contrctors NZTA egionl Opertions Mngers nd Are Mngers Dve Btes, Opertions Mnger, NZTA Ntionl Office Jonn Towler, oding

More information

Intention reconsideration in theory and practice

Intention reconsideration in theory and practice Intention reconsidertion in theory nd prctice Simon Prsons nd Ol Pettersson nd lessndro Sffiotti nd Michel Wooldridge bstrct. utonomous gents operting in complex dynmic environments need the bility to

More information

Crime Scene Documentation. Crime Scene Documentation. Taking the C.S. What should my notes include. Note Taking 9/26/2013

Crime Scene Documentation. Crime Scene Documentation. Taking the C.S. What should my notes include. Note Taking 9/26/2013 Crime Scene Documenttion Crime Scene Documenttion Most importnt step in C.S. processing Purpose: permnently record the condition of C.S. & physicl evidence Time consuming Documenter must be orgnized nd

More information

On the Description of Communications Between Software Components with UML

On the Description of Communications Between Software Components with UML On the Description of Communictions Between Softwre Components with UML Zhiwei An Dennis Peters Fculty of Engineering nd Applied Science Memoril University of Newfoundlnd St. John s NL A1B 3X5 zhiwei@engr.mun.c

More information

Implementation of Different Architectures of Forward 4x4 Integer DCT For H.264/AVC Encoder

Implementation of Different Architectures of Forward 4x4 Integer DCT For H.264/AVC Encoder Implementtion of Different Architectures of Forwrd 4x4 Integer DCT For H.64/AVC Encoder Bunji Antoinette Ringnyu, Ali Tngel, Emre Krulut 3 Koceli University, Institute of Science nd Technology, Koceli,

More information

Soft switched DC-DC PWM Converters

Soft switched DC-DC PWM Converters Soft switched DC-DC PWM Converters Mr.M. Prthp Rju (), Dr. A. Jy Lkshmi () Abstrct This pper presents n upgrded soft switching technique- zero current trnsition (ZCT), which gives better turn off chrcteristics

More information

Make Your Math Super Powered

Make Your Math Super Powered Mke Your Mth Super Powered: Use Gmes, Chllenges, nd Puzzles Where s the fun? Lern Mth Workshop model by prticipting in one nd explore fun nocost/low-cost gmes nd puzzles tht you cn esily bring into your

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad Hll Ticket No Question Pper Code: AEC009 INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigl, Hyderd - 500 043 MODEL QUESTION PAPER Four Yer B.Tech V Semester End Exmintions, Novemer - 2018 Regultions:

More information

5 I. T cu2. T use in modem computing systems, it is desirable to. A Comparison of Half-Bridge Resonant Converter Topologies

5 I. T cu2. T use in modem computing systems, it is desirable to. A Comparison of Half-Bridge Resonant Converter Topologies 74 EEE TRANSACTONS ON POER ELECTRONCS, VOL. 3, NO. 2, APRL 988 A Comprison of Hlf-Bridge Resonnt Converter Topologies Abstrct-The hlf-bridge series-resonnt, prllel-resonnt, nd combintion series-prllel

More information

Design of a Pipelined DSP Microprocessor MUN DSP2000

Design of a Pipelined DSP Microprocessor MUN DSP2000 Design of Pipeline DSP icroprocessor N DSP2000 Cheng Li, Lu io, Qiyo Yu, P.Gillr n R.Venktesn Fculty of Engineering n Applie Science emoril niversity of Newfounln St. John s, NF, Cn A1B 3 E-mil: {licheng,

More information

Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication

Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication 1 Threshold Logic Computing: Memristive-CMOS Circuits for Fst Fourier Trnsform nd edic Multipliction Alex Pppchen Jmes, Dinesh S. Kumr, nd Arun Ajyn Abstrct Brin inspired circuits cn provide n lterntive

More information

9.4. ; 65. A family of curves has polar equations. ; 66. The astronomer Giovanni Cassini ( ) studied the family of curves with polar equations

9.4. ; 65. A family of curves has polar equations. ; 66. The astronomer Giovanni Cassini ( ) studied the family of curves with polar equations 54 CHAPTER 9 PARAMETRIC EQUATINS AND PLAR CRDINATES 49. r, 5. r sin 3, 5 54 Find the points on the given curve where the tngent line is horizontl or verticl. 5. r 3 cos 5. r e 53. r cos 54. r sin 55. Show

More information

Section 2.2 PWM converter driven DC motor drives

Section 2.2 PWM converter driven DC motor drives Section 2.2 PWM converter driven DC motor drives 2.2.1 Introduction Controlled power supply for electric drives re obtined mostly by converting the mins AC supply. Power electronic converter circuits employing

More information

A Novel Back EMF Zero Crossing Detection of Brushless DC Motor Based on PWM

A Novel Back EMF Zero Crossing Detection of Brushless DC Motor Based on PWM A ovel Bck EMF Zero Crossing Detection of Brushless DC Motor Bsed on PWM Zhu Bo-peng Wei Hi-feng School of Electricl nd Informtion, Jingsu niversity of Science nd Technology, Zhenjing 1003 Chin) Abstrct:

More information

Lecture 20. Intro to line integrals. Dan Nichols MATH 233, Spring 2018 University of Massachusetts.

Lecture 20. Intro to line integrals. Dan Nichols MATH 233, Spring 2018 University of Massachusetts. Lecture 2 Intro to line integrls Dn Nichols nichols@mth.umss.edu MATH 233, Spring 218 University of Msschusetts April 12, 218 (2) onservtive vector fields We wnt to determine if F P (x, y), Q(x, y) is

More information

Abacaba-Dabacaba! by Michael Naylor Western Washington University

Abacaba-Dabacaba! by Michael Naylor Western Washington University Abcb-Dbcb! by Michel Nylor Western Wshington University The Abcb structure shows up in n mzing vriety of plces. This rticle explores 10 surprising ides which ll shre this pttern, pth tht will tke us through

More information

Pro Series White Toner and Neon Range

Pro Series White Toner and Neon Range Pro Series White Toner nd Neon Rnge Discover New Dimension in Colour printing for grment decortion, signge nd design Pro7411WT White Toner (CMYW) A4 printer Pro8432WT White Toner (CMYW) A3 printer Pro6410

More information

Information-Coupled Turbo Codes for LTE Systems

Information-Coupled Turbo Codes for LTE Systems Informtion-Coupled Turbo Codes for LTE Systems Lei Yng, Yixun Xie, Xiowei Wu, Jinhong Yun, Xingqing Cheng nd Lei Wn rxiv:709.06774v [cs.it] 20 Sep 207 Abstrct We propose new clss of informtion-coupled

More information

Homework #1 due Monday at 6pm. White drop box in Student Lounge on the second floor of Cory. Tuesday labs cancelled next week

Homework #1 due Monday at 6pm. White drop box in Student Lounge on the second floor of Cory. Tuesday labs cancelled next week Announcements Homework #1 due Mondy t 6pm White drop ox in Student Lounge on the second floor of Cory Tuesdy ls cncelled next week Attend your other l slot Books on reserve in Bechtel Hmley, 2 nd nd 3

More information

EET 438a Automatic Control Systems Technology Laboratory 5 Control of a Separately Excited DC Machine

EET 438a Automatic Control Systems Technology Laboratory 5 Control of a Separately Excited DC Machine EE 438 Automtic Control Systems echnology bortory 5 Control of Seprtely Excited DC Mchine Objective: Apply proportionl controller to n electromechnicl system nd observe the effects tht feedbck control

More information

10.4 AREAS AND LENGTHS IN POLAR COORDINATES

10.4 AREAS AND LENGTHS IN POLAR COORDINATES 65 CHAPTER PARAMETRIC EQUATINS AND PLAR CRDINATES.4 AREAS AND LENGTHS IN PLAR CRDINATES In this section we develop the formul for the re of region whose oundry is given y polr eqution. We need to use the

More information

Effect of High-speed Milling tool path strategies on the surface roughness of Stavax ESR mold insert machining

Effect of High-speed Milling tool path strategies on the surface roughness of Stavax ESR mold insert machining IOP Conference Series: Mterils Science nd Engineering PAPER OPEN ACCESS Effect of High-speed Milling tool pth strtegies on the surfce roughness of Stvx ESR mold insert mchining Relted content - Reserch

More information

Section 16.3 Double Integrals over General Regions

Section 16.3 Double Integrals over General Regions Section 6.3 Double Integrls over Generl egions Not ever region is rectngle In the lst two sections we considered the problem of integrting function of two vribles over rectngle. This sitution however is

More information

A Slot-Asynchronous MAC Protocol Design for Blind Rendezvous in Cognitive Radio Networks

A Slot-Asynchronous MAC Protocol Design for Blind Rendezvous in Cognitive Radio Networks Globecom 04 - Wireless Networking Symposium A Slot-Asynchronous MAC Protocol Design for Blind Rendezvous in Cognitive Rdio Networks Xingy Liu nd Jing Xie Deprtment of Electricl nd Computer Engineering

More information

Simulation of Transformer Based Z-Source Inverter to Obtain High Voltage Boost Ability

Simulation of Transformer Based Z-Source Inverter to Obtain High Voltage Boost Ability Interntionl Journl of cience, Engineering nd Technology Reserch (IJETR), olume 4, Issue 1, October 15 imultion of Trnsformer Bsed Z-ource Inverter to Obtin High oltge Boost Ability A.hnmugpriy 1, M.Ishwry

More information

DIGITAL multipliers [1], [2] are the core components of

DIGITAL multipliers [1], [2] are the core components of World Acdemy of Science, Engineering nd Technology 9 8 A Reduced-Bit Multipliction Algorithm for Digitl Arithmetic Hrpreet Singh Dhillon nd Ahijit Mitr Astrct A reduced-it multipliction lgorithm sed on

More information

Electrically Large Zero-Phase-Shift Metamaterial-based Grid Array Antenna for UHF Near-Field RFID Readers

Electrically Large Zero-Phase-Shift Metamaterial-based Grid Array Antenna for UHF Near-Field RFID Readers Electriclly Lrge Zero-Phse-Shift Metmteril-bsed Grid Arry Antenn for UHF Ner-Field RFID Reders Jin Shi, Xinming Qing, member IEEE, Zhi Ning Chen, Fellow, IEEE Abstrct A grid rry ntenn using zero-phse-shift

More information

A Key Set Cipher for Wireless Sensor Networks

A Key Set Cipher for Wireless Sensor Networks A Key Set Cipher for Wireless Sensor etworks Subhsh Kk Abstrct This pper proposes the use of sets of keys, together with corresponding identifiers, for use in wireless sensor networks (WS) nd similr computing

More information

Digital Design. Sequential Logic Design -- Controllers. Copyright 2007 Frank Vahid

Digital Design. Sequential Logic Design -- Controllers. Copyright 2007 Frank Vahid Digitl Design Sequentil Logic Design -- Controllers Slides to ccompny the tetook Digitl Design, First Edition, y, John Wiley nd Sons Pulishers, 27. http://www.ddvhid.com Copyright 27 Instructors of courses

More information

Use of compiler optimization of software bypassing as a method to improve energy efficiency of exposed data path architectures

Use of compiler optimization of software bypassing as a method to improve energy efficiency of exposed data path architectures Guzm et l. EURASIP Journl on Emedded Systems 213, 213:9 RESEARCH Open Access Use of compiler optimiztion of softwre ypssing s method to improve energy efficiency of exposed dt pth rchitectures Vldimír

More information

DYE SOLUBILITY IN SUPERCRITICAL CARBON DIOXIDE FLUID

DYE SOLUBILITY IN SUPERCRITICAL CARBON DIOXIDE FLUID THERMAL SCIENCE, Yer 2015, Vol. 19, No. 4, pp. 1311-1315 1311 DYE SOLUBILITY IN SUPERCRITICAL CARBON DIOXIDE FLUID by Jun YAN, Li-Jiu ZHENG *, Bing DU, Yong-Fng QIAN, nd Fng YE Lioning Provincil Key Lbortory

More information

MOS Transistors. Silicon Lattice

MOS Transistors. Silicon Lattice rin n Width W chnnel p-type (doped) sustrte MO Trnsistors n Gte Length L O 2 (insultor) ource Conductor (poly) rin rin Gte nmo trnsistor Gte ource pmo trnsistor licon sustrte doped with impurities dding

More information

Postprint. This is the accepted version of a paper presented at IEEE PES General Meeting.

Postprint.   This is the accepted version of a paper presented at IEEE PES General Meeting. http://www.div-portl.org Postprint This is the ccepted version of pper presented t IEEE PES Generl Meeting. Cittion for the originl published pper: Mhmood, F., Hooshyr, H., Vnfretti, L. (217) Sensitivity

More information

CSI-SF: Estimating Wireless Channel State Using CSI Sampling & Fusion

CSI-SF: Estimating Wireless Channel State Using CSI Sampling & Fusion CSI-SF: Estimting Wireless Chnnel Stte Using CSI Smpling & Fusion Riccrdo Crepldi, Jeongkeun Lee, Rul Etkin, Sung-Ju Lee, Robin Krvets University of Illinois t Urbn-Chmpign Hewlett-Pckrd Lbortories Emil:{rcrepl,rhk}@illinoisedu,

More information

Polar Coordinates. July 30, 2014

Polar Coordinates. July 30, 2014 Polr Coordintes July 3, 4 Sometimes it is more helpful to look t point in the xy-plne not in terms of how fr it is horizontlly nd verticlly (this would men looking t the Crtesin, or rectngulr, coordintes

More information

Design and Development of 8-Bits Fast Multiplier for Low Power Applications

Design and Development of 8-Bits Fast Multiplier for Low Power Applications IACSIT Interntionl Journl of Engineering nd Technology, Vol. 4, No. 6, Decemer 22 Design nd Development of 8-Bits Fst Multiplier for Low Power Applictions Vsudev G. nd Rjendr Hegdi, Memer, IACSIT proportionl

More information