SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

Size: px
Start display at page:

Download "SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION"

Transcription

1 SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION Michal URBANEK a, Vladimir KOLARIK a, Milan MATEJKA a, Frantisek MATEJKA a, Jan BOK a, Petr MIKSIK b, Jan VASINA b a) ISI ASCR,v.v.i., Kralovopolska 147, Brno, Czech Republic, urbanek@isibrno.cz b) ELTEK spol. s.r.o., Tomeckova 4298, Kromeriz, Czech Republic Abstract: Electron beam writer is a tool for writing patterns into a sensitive material (resist) in a high resolution. During the patterning, areas adjacent to the beam incidence point are exposed due to electron scattering effects in solid state (resist and the substrate). Consequently, this phenomenon, also called proximity effect, causes that the exposed pattern can be broader in comparison to the designed. In this contribution we present a software for proximity effect simulation and a software for proximity effect correction (PEC). The software is based on the model using the density of absorbed energy in resist layer and the model of resist development process. A simulation of proximity effect was carried out on binary lithography patterns, and consequently testing patterns were exposed with a corrected dose. As pattern generation, we used the e-beam writer TESLA BS 600 working with fixed energy 15keV and variable size rectangular shaped beam. The simulations of binary testing patterns and exposed patterns without PEC were compared. Finally, we compared the testing structures with PEC and without PEC, and we showed that the PEC tool works reliably for the e-beam writer BS Keywords: e-beam writer, shaped beam, proximity effect correction 1. INTRODUCTION Electron beam lithography is a technique for creating extremely fine patterns in resolution below 10 nm nowadays. This technique derived from scanning electron microscopy is required by the modern electronics industry for integrated circuit preparation [1]. The pattern writing in a high resolution is carried out into layer of sensitive material called electron resist (almost polymer), which is deposited on substrate surface. During the writing, several effects occur, which can significantly change the desired resolution of designed patterns [2]. The final pattern is most affected by two scattering effects in resist layer and substrate: forward scattering and backscattering. Due to this electron scattering effects, the exposed patterns can be significantly broader than the designed. This phenomenon, called proximity effect, causes that areas adjacent to the exposed receive nonzero electron dose and thus pattern can vary from the intended size. 1.1 Model of the absorbed energy Proximity effect correction requires knowledge of the energy density absorbed in electron resist layer during the exposure by electron beam. The proximity effect can be described by the two Gaussian functions model see (1)[3]. This model represents the distribution of energy absorbed in the material in distance r from the incidence point of primary electrons, where is the forward scattering range, is the backscattering parameter and label the ratio between the energy absorbed from the backscattered electrons and primary electrons.

2 f ( r) r r exp exp (1) We use for pattern generation a rectangular shaped beam, then we can calculate distribution function as numeric integration of individual pixels in stamp. It means that stamp consists of number a*b beams with cross section of one pixel and the distribution function can be generated for individual stamps of different sizes as following. We calculate the distribution function as sum or difference of pre-calculated stamps saved in library and it prevents from calculating a big number of Gaussian function, this leads to a higher speed of calculation. 1.2 Sensitivity curve Electron beam resist as transfer and recording medium is characterized by its sensitivity curve. It means if we expose resist to a range of doses and then develop pattern, plotting the relative thickness of resist versus dose we obtain the sensitivity curve of resist. The sensitivity of resist is defined as the value of dose, when all resist is removed (see fig 1). Fig.1: Sensitivity curve of PMMA 950k resist, thickness of 200 nm. 2. SIMULATION AND CORRECTION ALGORITHMS 2.1 Proximity Effect Simulator (PES) The previous words obviously show that the proximity effect correction is for creating patterns in high resolution at the most desired. The first step for its correction is to understand its influence on the designed patterns and visualize the latent pattern in electron resist layer, it means visualization the density of absorbed energy. Consequently, the PES for dose distribution was created. Input parameters for PES are variables from equation (1). Values of those parameters are known from the previous papers [3,4] and follows: α = 0.20, β = 1.20 and η = The PES allows simulation of dose distribution of designed pattern (Fig. 2 right)

3 Fig.2: Test pattern layout with designed widths of central lines and exposure of adjacent areas (left), proximity effect influence on absorbed dose (nonzero dose surrounding the testing pattern right). 2.2 Development simulator (DeS) If we know the resist sensitivity curve (Fig.1) we can simulate the resist development process as well (Fig.3). E-beam patterning almost works in binary regime of lithography during the production of electronic devices. It means that the exposed areas after development process are exposed to the substrate and unexposed areas are fully covered by original resist layer, and therefore we realized simulation and test patterning for binary mode. Fig. 3: Development simulation in binary mode (detail of pattern with nominal linewidth 200 nm): left- without PEC correction, right with PEC correction (dose correction).

4 2.3 Proximity Effect Corrector (PEC) algorithms After the simulation of dose distribution and development process, the second step leading to proximity effect correction. Our correction method is primarily based on implementation following algorithms (Fig. 4): 1) Dose Correction exposure time is converted to designed pattern is generated over whole resist thickness, adjacent areas receive minimized dose 2) Edge - additional stamps are exposed along the pattern edges, adjacent areas receive minimized dose. 3) Corner - additional stamps are exposed in pattern corners, adjacent areas receive minimized dose. Fig.4: Detail of testing pattern with nominal width of central line 200 nm after PEC a) dose correction, b) edge correction, c) corner correction. 2.4 PEC execute After PEC application on designed testing pattern and simulation of development process (DeS) we can compare supposed result of the testing pattern without and with PEC. The linewidths of nominal width 200 nm after development process simulation before and after PEC (for surrounding exposure 0%) are compared. As we expected, linewidth without PEC with growing surrounding exposure expands, whereas the linewidth with PEC is constant (Fig. 5). Differences between simulated and nominal value of linewidths versus nominal linewidth without and with PEC is shown in figure 6 and it is apparent that the widths of lines for nominal linewidth below 400 nm are lower and above 500 nm are broader than designed. The linewidths with PEC correspond with designed for nominal linewidth from 200 nm.

5 Fig. 5: Simulated linewidth of 200 nm line Fig 6: Differences between simulated and nominal value of linewidths versus nominal linewidth. 3. TESTING PATTERN EXPOSURE We used e-beam writer BS600 with a rectangular shaped beam and fixed accelerating voltage of 15 kv [5] for testing pattern exposure (Fig. 2 - left). The exposure dose of 100% was 30C/cm 2. Testing pattern was exposed into PMMA 950k resist, thickness of 200 nm, used developer n-aac, development time 180 s and temperature 25 C, contrast of resist 2,1. After the development, we measured the width of central lines for all values of exposed adjacent to the lines (0, 25, 50, 75, 100 %) by AFM (Fig.7). The measured width of 200 nm line without PEC for varying surrounding values is lower than value from the simulation, because the pattern is not fully developed, but in agreement with simulated estimation. The width of corrected line fully corresponds with designed pattern. Fig. 7: AFM image of testing pattern nominalwidth of central line 200 nm, left - without PEC correction, right - with PEC correction.

6 Fig. 8: AFM measurement - linewidth of 200nm line. Fig. 9: Differences between simulated and nominal value of linewidths versus nominal linewidth. 4. CONCLUSIONS The exposure correction of central line becomes significant, where noticeable difference is between corrected and uncorrected line width. Line widths without correction are very noisy, but widths of lines with correction correspond with designed width for lines from 200 nm very well. As it is evident from the AFM measurements, we managed to create a tool for simulation and offline compensation of electron scattering phenomena for binary patterns generation using e-beam writer with a shaped beam. ACKNOWLEDGMENT This work was partially supported by the MIT CR under the contract No. FR TI1/576, the EC and MEYS CR (project No. CZ.1.05/2.1.00/ ALISI), the TACR project No. TE and by the institutional support RVO: REFERENCES [1] CHOUDHURY, R. Handbook of Microlithography, Micromachining and Microfabrication. Volume 1: Microlithography, SPIE PRESS and IEE, 1997, ISBN [2] KOLARIK, V. et al. Proximity effect simulation for variable shape E-beam writer. In proceedings of13th Recent Trends in Charged Particle Optics and Surface Physics Instrumentation, Skalský Dvůr, Czech Republic, 2012, p.75-76, ISBN [3] KRAATS, A. van der, RAUGHANTAH, M. Proximity effect in E-beam lithography, available from p. 1-5., cited [4] URBANEK, M. et al Determination of proximity effect forward scattering range parameter in E-beam lithography. In proceedings of12th Recent Trends in Charged Particle Optics and Surface Physics Instrumentation, Skalský Dvůr, Czech Republic, 2010, p.67-68, ISBN [5] KOLARIK, V et al., Writing system with shaped electron beam, Jemná mechanika a optika, Vol. 53, No.1, 2008, p , ISSN [6] OWEN, G., Methods for proximity effect correction in electron lithography, Journal of Vacuum Science Technology B, 8(6), 1990, p

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM Nov 5 th 7 th 014, Brno, Czech Republic, EU EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM Miroslav HORÁČEK, Stanislav KRÁTKÝ, Michal URBÁNEK, Vladimír KOLAŘÍK,

More information

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA Institute of Scientific Instruments of the ASCR, v. v.i., Královopolská

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING Miroslav HORÁČEK, František MATĚJKA, Vladimír KOLAŘÍK, Milan MATĚJKA, Michal URBÁNEK Ústav přístrojové techniky AV ČR,

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp.

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp. Basics and applications in nanolithography E-beam lithography David López-Romero CRESTEC-ISOM JACA 2018 CRESTEC Corp. OUTLINE Presentation. E-beam lithography system basics. E-beam lithography technic

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG SEMATECH Workshop on Maskless Lithography San Francisco, CA Dec 14 2008 PML2 Projection Mask-Less Lithography The mask-less electron multi-beam solution for the 22nm node and beyond AG Projection Mask-Less

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology 15-398 Introduction to Nanotechnology Nanoscale Lithography Seth Copen Goldstein Seth@cs.cmu.Edu CMU Pushing The Limits of Photolithography Reduce wavelength (λ) Use Reducing Lens Increase Numerical Aperture

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Multi-beam mask writer MBM-1000 for advanced mask making

Multi-beam mask writer MBM-1000 for advanced mask making Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. Slide 1 Multi-beam Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012609 TITLE: Scatterometry for Lithography Process Control and Characterization in IC Manufacturing DISTRIBUTION: Approved

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Computed Radiography of Resistance Temperature Sensor for Indian PHWR

Computed Radiography of Resistance Temperature Sensor for Indian PHWR National Seminar & Exhibition on Non-Destructive Evaluation, NDE 2014, Pune, December 4-6, 2014 (NDE-India 2014) Vol.20 No.6 (June 2015) - The e-journal of Nondestructive Testing - ISSN 1435-4934 www.ndt.net/?id=17831

More information

Depth of Focus, part 2

Depth of Focus, part 2 T h e L i t h o g r a p h y T u t o r (Autumn 995) Depth of ocus, part Chris A. Mack, INL Technologies, Austin, Texas In the last column we began our search for a suitable definition for depth of focus

More information

AFM Study of Hydrocarbon Thin Films

AFM Study of Hydrocarbon Thin Films WDS'05 Proceedings of Contributed Papers, Part II, 391 396, 2005. ISBN 80-86732-59-2 MATFYZPRESS AFM Study of Hydrocarbon Thin Films M. Valtr, I. Ohlídal Masaryk University in Brno, Faculty of Science,

More information

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography CeNSE restricted NNFC-TN 2017/001 Technical Note CENSE-NNFC-2017/001 Issued: 03/2017 Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography Sreedhar Babu, Anita CeNSE, NNFC, Indian

More information

Introduction. Chapter 16 Diagnostic Radiology. Primary radiological image. Primary radiological image

Introduction. Chapter 16 Diagnostic Radiology. Primary radiological image. Primary radiological image Introduction Chapter 16 Diagnostic Radiology Radiation Dosimetry I Text: H.E Johns and J.R. Cunningham, The physics of radiology, 4 th ed. http://www.utoledo.edu/med/depts/radther In diagnostic radiology

More information

Module 4B7: VLSI Design, Technology, and CAD. Scanning Electron Microscopical Examination of CMOS Integrated Circuit

Module 4B7: VLSI Design, Technology, and CAD. Scanning Electron Microscopical Examination of CMOS Integrated Circuit Engineering Tripos Part IIB FOURTH YEAR Module 4B7: VLSI Design, Technology, and CAD Laboratory Experiment Dr D Holburn and Mr B Breton Scanning Electron Microscopical Examination of CMOS Integrated Circuit

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Chapter 1. Basic Electron Optics (Lecture 2)

Chapter 1. Basic Electron Optics (Lecture 2) Chapter 1. Basic Electron Optics (Lecture 2) Basic concepts of microscope (Cont ) Fundamental properties of electrons Electron Scattering Instrumentation Basic conceptions of microscope (Cont ) Ray diagram

More information

Article begins on next page

Article begins on next page Focused Laser-Induced Marangoni Dewetting for Patterning Polymer Thin Films Rutgers University has made this article freely available. Please share how this access benefits you. Your story matters. [https://rucore.libraries.rutgers.edu/rutgers-lib/47911/story/]

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Brent P. Gila, Andes Trucco, David Hays Located in sunny Gainesville, FL (100 miles north of Disney World) https://nrf.aux.eng.ufl.edu/

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Calculation and Comparison of Turbulence Attenuation by Different Methods

Calculation and Comparison of Turbulence Attenuation by Different Methods 16 L. DORDOVÁ, O. WILFERT, CALCULATION AND COMPARISON OF TURBULENCE ATTENUATION BY DIFFERENT METHODS Calculation and Comparison of Turbulence Attenuation by Different Methods Lucie DORDOVÁ 1, Otakar WILFERT

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

BMB/Bi/Ch 173 Winter 2018

BMB/Bi/Ch 173 Winter 2018 BMB/Bi/Ch 73 Winter 208 Homework Set 2 (200 Points) Assigned -7-8, due -23-8 by 0:30 a.m. TA: Rachael Kuintzle. Office hours: SFL 229, Friday /9 4:00-5:00pm and SFL 220, Monday /22 4:00-5:30pm. For the

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Retrodirective Antenna Array Using High Frequency Offset

Retrodirective Antenna Array Using High Frequency Offset RADIOENGINEERING, VOL. 21, NO. 4, DECEMBER 2012 1013 Retrodirective Antenna Array Using High requency Offset Pavel ŠINDLER, Michal POKORNÝ Dept. of Radio Electronics, Brno University of Technology, Purkyňova

More information

Analysis and Correction of Three-Dimensional Proximity Effect in Binary. E-beam Nano-Lithography. Kasi Lakshman Karthi, Anbumony

Analysis and Correction of Three-Dimensional Proximity Effect in Binary. E-beam Nano-Lithography. Kasi Lakshman Karthi, Anbumony Analysis and Correction of Three-Dimensional Proximity Effect in Binary E-beam Nano-Lithography Except where reference is made to the work of others, the work described in this thesis is my own or was

More information

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University Resist Features on Poly Pattern Transfer Poly Features on Oxide CD-AFM The Critical Dimension AFM Boot -Shaped Tip Tip shape is optimized to sense topography on vertical surfaces Two-dimensional feedback

More information

WFC3 TV3 Testing: IR Channel Nonlinearity Correction

WFC3 TV3 Testing: IR Channel Nonlinearity Correction Instrument Science Report WFC3 2008-39 WFC3 TV3 Testing: IR Channel Nonlinearity Correction B. Hilbert 2 June 2009 ABSTRACT Using data taken during WFC3's Thermal Vacuum 3 (TV3) testing campaign, we have

More information

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor

Supporting Information. Vertical Graphene-Base Hot-Electron Transistor Supporting Information Vertical Graphene-Base Hot-Electron Transistor Caifu Zeng, Emil B. Song, Minsheng Wang, Sejoon Lee, Carlos M. Torres Jr., Jianshi Tang, Bruce H. Weiller, and Kang L. Wang Department

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Analysis of phase sensitivity for binary computer-generated holograms

Analysis of phase sensitivity for binary computer-generated holograms Analysis of phase sensitivity for binary computer-generated holograms Yu-Chun Chang, Ping Zhou, and James H. Burge A binary diffraction model is introduced to study the sensitivity of the wavefront phase

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

A COMPARATIVE STUDY ON THE PERFORMANCE OF DIGITAL DETECTOR SYSTEMS FOR HIGH ENERGY APPLICATIONS

A COMPARATIVE STUDY ON THE PERFORMANCE OF DIGITAL DETECTOR SYSTEMS FOR HIGH ENERGY APPLICATIONS 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic More Info at Open Access Database www.ndt.net/?id=16394 A COMPARATIVE STUDY ON THE PERFORMANCE

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

ISO INTERNATIONAL STANDARD

ISO INTERNATIONAL STANDARD INTERNATIONAL STANDARD ISO 16371-1 First edition 2011-10-01 Non-destructive testing Industrial computed radiography with storage phosphor imaging plates Part 1: Classification of systems Essais non destructifs

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy For the semiconductor industry A tutorial Titel Vorname Nachname Titel Jobtitle, Bereich/Abteilung Overview Scanning Electron microscopy Scanning Electron Microscopy (SEM)

More information

Study of shear force as a distance regulation mechanism for scanning near-field optical microscopy

Study of shear force as a distance regulation mechanism for scanning near-field optical microscopy Study of shear force as a distance regulation mechanism for scanning near-field optical microscopy C. Durkan a) and I. V. Shvets Department of Physics, Trinity College Dublin, Ireland Received 31 May 1995;

More information

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR Mark Downing 1, Peter Sinclaire 1. 1 ESO, Karl Schwartzschild Strasse-2, 85748 Munich, Germany. ABSTRACT The photon

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Undulator K-Parameter Measurements at LCLS

Undulator K-Parameter Measurements at LCLS Undulator K-Parameter Measurements at LCLS J. Welch, A. Brachmann, F-J. Decker, Y. Ding, P. Emma, A. Fisher, J. Frisch, Z. Huang, R. Iverson, H. Loos, H-D. Nuhn, P. Stefan, D. Ratner, J. Turner, J. Wu,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

A Novel Bandpass Filter Using a Combination of Open-Loop Defected Ground Structure and Half-Wavelength Microstrip Resonators

A Novel Bandpass Filter Using a Combination of Open-Loop Defected Ground Structure and Half-Wavelength Microstrip Resonators 392 P. VÁGNER, M. KASAL, A NOVEL BANDPASS FILTER USING A COMBINATION OF OPEN-LOOP DEFECTED GROUND A Novel Bandpass Filter Using a Combination of Open-Loop Defected Ground Structure and Half-Wavelength

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

ACTUAL POLARIZERS AND METHODS OF LIGHT MICROSCOPY

ACTUAL POLARIZERS AND METHODS OF LIGHT MICROSCOPY ACTUAL POLARIZERS AND METHODS OF LIGHT MICROSCOPY I.G. Palchikova a,b, E.S.Smirnov a, N.V. Kamanina c a Technological Design Institute of Scientific Instrument Engineering, Siberian Branch of the Russian

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Chapter 5 5.1 What are the factors that determine the thickness of a polystyrene waveguide formed by spinning a solution of dissolved polystyrene onto a substrate? density of polymer concentration of polymer

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Doppler writing and linewidth control for scanning beam interference lithography

Doppler writing and linewidth control for scanning beam interference lithography Doppler writing and linewidth control for scanning beam interference lithography Juan C. Montoya, a Chih-Hao Chang, Ralf K. Heilmann, and Mark L. Schattenburg Space Nanotechnology Laboratory, Massachusetts

More information

Virtual input device with diffractive optical element

Virtual input device with diffractive optical element Virtual input device with diffractive optical element Ching Chin Wu, Chang Sheng Chu Industrial Technology Research Institute ABSTRACT As a portable device, such as PDA and cell phone, a small size build

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Simulation comparisons of monitoring strategies in narrow bandpass filters and antireflection coatings

Simulation comparisons of monitoring strategies in narrow bandpass filters and antireflection coatings Simulation comparisons of monitoring strategies in narrow bandpass filters and antireflection coatings Ronald R. Willey Willey Optical, 13039 Cedar St., Charlevoix, Michigan 49720, USA (ron@willeyoptical.com)

More information

Film Replacement in Radiographic Weld Inspection The New ISO Standard

Film Replacement in Radiographic Weld Inspection The New ISO Standard BAM Berlin Film Replacement in Radiographic Weld Inspection The New ISO Standard 17636-2 Uwe Ewert, Uwe Zscherpel, Mirko Jechow Requests and information to: uwez@bam.de 1 Outline - The 3 essential parameters

More information

EXPERIENCE WITH AND STUDIES OF THE SNS* TARGET IMAGING SYSTEM

EXPERIENCE WITH AND STUDIES OF THE SNS* TARGET IMAGING SYSTEM EXPERIENCE WITH AND STUDIES OF THE SNS* TARGET IMAGING SYSTEM W. Blokland, ORNL, Oak Ridge, TN 37831, USA Abstract The Target Imaging System (TIS) shows the size and position of the proton beam by using

More information

Optical Characterization of Compound Refractive Lenses

Optical Characterization of Compound Refractive Lenses Optical Characterization of Compound Refractive Lenses ARNDT LAST, INSTITUTE OF MICROSTRUCTURE TECHNOLOGY (IMT) CRL Layout 1357_00_A0 KIT University of the State of Baden-Wuerttemberg and National Research

More information

Amorphous Selenium Direct Radiography for Industrial Imaging

Amorphous Selenium Direct Radiography for Industrial Imaging DGZfP Proceedings BB 67-CD Paper 22 Computerized Tomography for Industrial Applications and Image Processing in Radiology March 15-17, 1999, Berlin, Germany Amorphous Selenium Direct Radiography for Industrial

More information

Bias errors in PIV: the pixel locking effect revisited.

Bias errors in PIV: the pixel locking effect revisited. Bias errors in PIV: the pixel locking effect revisited. E.F.J. Overmars 1, N.G.W. Warncke, C. Poelma and J. Westerweel 1: Laboratory for Aero & Hydrodynamics, University of Technology, Delft, The Netherlands,

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information