Evaluation of OPC Mask Printing with a Raster Scan Pattern Generator

Size: px
Start display at page:

Download "Evaluation of OPC Mask Printing with a Raster Scan Pattern Generator"

Transcription

1 Evaluation of OPC Mask Printing with a Raster Scan Pattern Generator Tom Newman a, Jan Chabala a, B.J. Marleau a, Frederick Raymond III a, Olivier Toublan b, Mark Gesley a, and Frank Abboud a a Etec Systems, Applied Materials Mask Business Group Corporate Avenue, Hayward, CA USA b Mentor Graphics Corp., 7B Chemin de la Dhuy, Meylan, France ABSTRACT MEBES 50 kv mask pattern generators use Raster Graybeam writing, providing an effective grid that is 32 finer than the print grid. The electron beam size and print pixel size are variable between 60 nm and 120 nm, allowing a tradeoff between resolution and write time. Raster scan printing optimizes throughput by transferring precisely the amount of data to the mask that is consistent with the chosen resolution. As with other raster output devices, mask write times are not affected by pattern complexity. This paper examines the theoretical performance of Raster Graybeam for model-based optical proximity correction (OPC) patterns and provides examples of mask patterning performance. A simulation tool is used to model the MEBES exara system writing strategy, which uses four writing passes, interstitial print grids, offset scans, and eight dose levels per pass. It is found that Raster Graybeam produces aerial image quality equivalent to the convolution of the input pattern data with a Gaussian point spread function. Resolution of 90 nm is achieved for equal lines and spaces, supporting subresolution assist features. Angled features are a particular strength of raster scan patterning, with feature quality and write time that are independent of feature orientation. Keywords: MEBES, electron beam lithography, photomask, Raster Graybeam, OPC 1. INTRODUCTION Model-based OPC algorithms add vertices to pattern data, increasing the number of primitive figures required to represent each circuit function. 1-4 This increase in complexity is compounded by continual feature size reductions, with a related factor being the use of angled features to optimize packing density and circuit performance. Assist feature High aspect ratio jog Fine edge positioning Corner Jog Figure 1. Representative mask feature enhanced with model-based OPC. Areas for analysis are highlighted. WO

2 Raster representation of data has become the standard for output devices such as computer displays and printers. Rather than vectoring to individual geometries, pattern data is rasterized and delivered to the output medium at a constant rate. This allows more complex images to be reproduced without increasing the image rendering time. Trends in maskmaking are similar, where the richer data content of masks must be reproduced without compromising write time. Advances in raster scan electron-beam (e-beam) technology have led to the introduction of pulse-width modulation for controlling the dose of individual exposure pixels. Combined with multiple writing passes, mask images with complex contours on fine design grids are reproduced while maintaining high productivity. To ensure that masks meet requirements, it is necessary to confirm that the writing strategy faithfully reproduces the mask data. The model-based OPC design in Figure 1 illustrates the pattern details that are considered in this paper. 2.1 Raster Graybeam writing strategy 2. RASTER GRAYBEAM PRINCIPLES Raster scan pattern generators expose pixels on a print grid, using either a single writing pass or multiple writing passes. In the simplest implementation, the pixels are either exposed or unexposed, and pattern edges can be moved in increments of the print pixel. In the Raster Graybeam implementation, interstitial writing passes are used as shown in Figure 2a. Writing passes are shifted in half-pixel increments such that the apparent print grid is reduced by a factor of two. Figure 2b shows the writing pass overlay. Edge pixels are interleaved, reducing edge roughness and improving lithographic quality. Print pixel size (for single pass) Pass 1 Pass 2 Pass 3 Pass 4 FWHM (d) Figure 2. (a) Print grids for Raster Graybeam patterning. For each pass, pixels are written on a square print grid. Interstitial arrangement of print grids results in an apparent print grid that is 2 finer. (b) Overlay of the writing passes showing the beam cross-section at full-width half-maximum (FWHM). Nominally, the beam diameter is equal to the print pixel size in the MEBES exara system. (c) Overlapping beam intensity profiles within a single writing pass. (d) Overlapping profiles for the combined writing passes. The size of the exposing beam is independent of the size of the print pixel, but in practice the ratio of the two is chosen to achieve acceptable lithographic performance. In Raster Graybeam writing, the nominal beam diameter at full-width half-maximum (FWHM) is equal to the print pixel size. Under this condition, the intensity profiles of individual beams within a writing pass intersect at the 50% point (Figure 2c). When the interstitial writing grids are included, the overlap is increased (Figure 2d). In both cases a Gaussian beam profile is illustrated. To position edges on a scale finer than the print grid, the edge pixels must be modulated either by assigning different doses for each writing pass or by controlling the dose of individual pixels. The most straightforward gray level approach is illustrated in Figure 3. A regular array of print pixels defines a print grid. Interior pixels are fully exposed, whereas edge pixels are dose-modulated in equal increments. 5 This is referred to as the generic gray level method in this paper, and it serves as a baseline for evaluating the MEBES exara system implementation. Summation of the individual pixel doses yields a family of curves representing the feature edge intensity profile. As the edge pixel dose is increased, the edge position translates over the span of the print pixel size. Ideally, the spacing and slope of the edge profiles are consistent for all gray levels. Qualitatively, it is observed that the best performance in this regard is achieved at the 50% dose threshold. WO

3 The generic method uses a single writing pass, and pixel doses are individually controlled over a range of gray levels. Sixteen gray levels are assumed in Figure 3, and the effective pixel size is therefore 16 smaller than the print pixel size. The effective grid defines the nominal edge placement precision of a pattern generator. Print pixel size 1 Effective pixel size Intensity, normalized X position (in units of print pixel size) Figure 3. Edge positioning with a generic gray level method. Interior pixels are exposed at full intensity. Edge pixels are dosemodulated, shifting the position of the summed intensity profile. The print pixel is subdivided into a number of effective pixels, depending on the number of dose levels available. In this example, the effective pixel size is 1/16 th of the print pixel size. 2.2 MEBES exara implementation Prior raster scan e-beam pattern generators used multiple passes to implement gray level schemes, 6 but the binary beam blanker did not modulate the dose on a per-pixel basis. This changes with the introduction of the MEBES exara system Data flow is illustrated schematically in Figure 4a. As the mask is written, pattern data is converted from figures into a spatially ordered stream of exposure pixels. The beam blanker is synchronized with a magnetic raster scan. A 50 kv beam is scanned along the height of the writing stripe, which can be varied from approximately 0.5 mm to 1.0 mm. The x/y stage moves continuously under the electron optics in a direction orthogonal to the beam scan. 50kV Optics Pattern data Datapath Data convolver/ rasterizer Electronics Blanker driver Blanker 100% 75% 50% Fine deflection Deflectors 25% off Continuously moving stage (a) (b) Figure 4. (a) Simplified configuration of data path and electron optics for the MEBES exara system. Pattern data is converted during mask writing from figures to gray maps. (b) The dwell time of individual pixels is set to one of eight values, plus the unexposed condition. Exposure pixels are delivered to the mask at a constant rate of 320 MHz during the scan ramp. Beam dwell time is pulse-width modulated to provide eight dose levels per pass, as shown in Figure 4b. In contrast to the generic gray level Mask WO

4 method, Raster Graybeam uses four writing passes and assigns the doses of edge pixels with an optimized lookup table. By combining the eight gray levels with four interstitial writing passes, the effective pixel is 1/32 nd of the print pixel. Equivalently, the effective pixel is 1/16 th of the apparent print pixel that results from the interstitial print grids. Writing passes are offset by one-quarter of the stripe height, reducing the effects of residual scan linearity deviations. The beam is paused during exposure with an electrostatic deflection signal opposing the magnetic raster scan. This retrograde scan results in deposited energy profiles that are nominally the same for horizontal and vertical features. Residual bias between horizontal and vertical linewidths can be corrected by electronics that systematically adjust edge dose. The electrostatic deflectors can also be used for future writing strategy enhancements that improve the image quality of pattern edges and corners. 2.3 Edge placement and edge slope Each gray level method has an inherent edge placement accuracy. This is analyzed for the MEBES exara system by computing the dose profiles generated by Raster Graybeam and determining the deviations from nominal edge locations. Edge locations are calculated at the isofocal point, which for a Gaussian beam system is at 50% of full intensity. Best lithographic performance is achieved at this operating point because 1) nominal edge placement accuracy is optimized; 2) edge slopes are steepest and most consistent, optimizing linewidth control; and 3) feature size is insensitive to focus variations. Figure 5a plots edge placement accuracy over a cycle of gray levels. Full scale is normalized to ±1 effective pixels, so that the results can be scaled to the actual value. For the generic gray level case, the maximum edge deviation from the expected location is 0.39 effective pixels. In comparison, Raster Graybeam has a maximum error of 0.26 effective pixels. In the MEBES exara system, the print pixel size can be varied from a minimum of 60 nm to a maximum of 120 nm. The corresponding effective pixel size ranges from nm to 3.75 nm. At the lower end of this scale, the maximum edge placement error is 0.45 nm, and at the high end it is 0.90 nm. With a future enhancement referred to as per-pixel deflection (PPD), the maximum error is reduced to 0.20 effective pixels. However, PPD has a more significant influence on the edge profile. Edge placement error (normalized to effective pixel size) Gray level value (a) Raster Graybeam Raster Graybeam with PPD Generic gray method Edge Slope, normalized to beam size Gray level value (b) Raster Graybeam Raster Graybeam with PPD Generic gray method Figure 5. (a) Edge placement accuracy of the Raster Graybeam writing method, compared to the generic gray method. The edge placement error is represented as a fraction of the effective pixel size, evaluated at the isofocal dose condition. The maximum error due to the Raster Graybeam writing strategy is ± 0.26 effective pixels, compared to ± 0.39 effective pixels for the generic gray level method. Application of per-pixel deflection (PPD) will improve this to ± 0.20 effective pixels. (b) Edge slope consistency of Raster Graybeam vs. the generic gray method. Raster Graybeam image profiles are on average 12% less steep than the generic approach, but the slope is more consistent. Per-pixel deflection will improve the steepness and consistency of the profile. WO

5 Figure 5b shows the edge slope over a cycle of gray levels, with larger values corresponding to steeper edges. Edge slope is computed at the isofocal point, and results are normalized to the beam diameter (FWHM). For the generic gray level method, the edge slope drops by 18% at the midpoint. In comparison, the average edge slope for Raster Graybeam is about 0.88 of the average for the generic method. This results from implementing edge positioning within the framework of an eight-level beam blanker. However, the falloff in edge slope from the maximum value is reduced to 13%. Per-pixel deflection would increase the average edge slope by 18% compared to the generic approach. Edge slope variation is reduced considerably, with a drop-off of only 6.6% from the maximum value. PPD electrostatically deflects the edge pixels partially into the fully exposed region, reducing the aerial image width of exposed features by one effective pixel on each edge. This relatively minor offset is compensated when optimizing the pattern bias and process conditions to achieve the correct etched feature size. 2.4 Image formation Electron beam lithography systems, whether using a round beam or a shaped beam, have an inherent resolution that can generally be approximated by a Gaussian point spread function (PSF). Ideally, an electron beam pattern generator creates an image that is the convolution of the pattern data with the PSF, without introducing artifacts related to the underlying writing strategy. With Raster Graybeam, it is not readily apparent how well the writing strategy approximates the ideal image, which is defined in this paper as the convolution of the design with a Gaussian PSF. For this reason, an analytical model has been developed that duplicates the writing strategy. Pixel doses for each pass are assigned according to the rules embedded in the MEBES exara system, and the aerial image is computed as the summation of the individual exposures. The result can be displayed as either a two-dimensional energy distribution or as an intensity profile. The model is designed to assess the writing strategy and does not include beam-substrate interactions or process effects. An option is included for convolving the design data with a Gaussian function, providing direct comparisons of Raster Graybeam aerial images to ideal images. The OPC pattern in Figure 6a illustrates this. The Raster Graybeam aerial image is shown in Figure 6b, with a 60 nm print pixel and a 60 nm beam diameter (FWHM). It is equivalent to the ideal image in Figure 6c, computed with an empirically determined 70 nm Gaussian function. This indicates that Raster Graybeam generates an ideal aerial image, with an apparent beam size that is 15% larger than the physical beam. Figure 6. (a) Representative model-based OPC design. Uncorrected features are 100 nm at wafer scale. (b) Computed aerial image for Raster Graybeam patterning, using a 60 nm print pixel and a 60 nm Gaussian beam (FWHM). The central gray regions represent full exposure, and the dark background is unexposed. The bright contour straddles 50% intensity. (c) Convolution of design with a 70 nm Gaussian. Raster Graybeam pattern fidelity is equivalent to the ideal convolution, with an apparent point spread function that is 15% larger than the actual beam size. 2.5 Resolution vs. productivity The MEBES exara system uses the flexibility of electron optics to provide continuously variable scan length, beam size, and beam current. The broad operating range and automated calibration allow the tool to be matched to the application requirements, either from mask-to-mask or from pattern-to-pattern within a mask. 10 WO

6 Variable scan length allows the print pixel size to be adjusted between 60 nm and 120 nm, corresponding to an effective pixel size of nm to 3.75 nm. Having a 2 range, the system can write patterns on any design grid as small as nm without introducing grid snap errors. Patterns designed on grids below nm are accepted by the data path and are written on the minimum grid. It is also possible to constrain the tool to write patterns on a fixed effective grid independent of the pattern design grid. In nominal operation, the beam size is equal to the print pixel size, so the system resolution is continuously variable over the same 2 range. This is illustrated in Figure 7 with a 360 nm feature. The pixel map for a 120 nm print pixel is shown in Figure 7b, along with the computed aerial image. At the print pixel of 60 nm in Figure 7c, the beam size is 2 smaller; consequently the pixel map is 4 denser. As expected, corner rounding and image sharpness are improved with the smaller beam. For a 110 mm x 110 mm mask area, the nominal write time with the maximum pixel size is 6.5 hours, while the corresponding time with the minimum pixel size is 20 hours. Write time varies continuously between these bounding values. Figure 7. (a) 360 nm pattern for illustrating the tradeoff between resolution and productivity. (b) Pixel map and aerial image for exposure with a 120 nm beam. One of four writing passes is shown. (c) Pixel map and aerial image for exposure with a 60 nm beam. The write time ratio between these limiting cases is 3.1. Raster Graybeam writing in the MEBES exara system has the property of scalable data volume. The density of the internally generated gray level maps is determined at write time according to the physical grid size. Therefore, the system transfers exactly the amount of data to the mask that is required to match the beam resolution. This leads to constant throughput at the chosen resolution, regardless of pattern content. 3.1 Lines and spaces 3. RASTER GRAYBEAM ASSESSMENT Advances in optical lithography have enabled the replication of wafer scale features smaller than the stepper point spread function. On masks, the minimum primary feature size generally is considerably larger than the pattern generator PSF. One reason for this is that the linewidth uniformity specifications placed on masks are a small fraction of those allowed for wafer lithography, when expressed as a percentage of the feature size. OPC assist features do not necessarily require the same control as primary features, and relaxed specifications would allow smaller features to be produced. The minimum useful lithographic resolution in a Raster Graybeam system is determined by both the beam size and the writing strategy. For the MEBES exara system, the modeled aerial image contrast is nearly 100% down to a feature-size to beam-size ratio of 2:1. At this point, the contrast is 93% (Figure 8a). Under these conditions, lithography performance is robust, especially in high-contrast resist materials. Figure 8b shows the resist profile for 120 nm lines and spaces in a TOK chemically amplified resist (CAR). In addition to high contrast, this material exhibits minimal footing for single layer coatings on chrome. 12 WO

7 120nm line/space (a) (b) 90nm line/space Figure 8. (a) Raster Graybeam intensity profile for equal lines and spaces exposed with a 2:1 ratio between the feature size and the pixel size. The image contrast is 93%. (b) CAR resist profile for 120 nm equal lines and spaces exposed with a 60 nm print pixel and a 60 nm beam diameter. (c) Raster Graybeam intensity profile for equal lines and spaces exposed with a 1.5:1 feature size to pixel size ratio. Image contrast is reduced to 77%. (d) Resist profile for 90 nm lines and spaces exposed with 1.5:1 ratio. Steep profiles are maintained, and resist footing is minimal with the single layer resist coating on chrome. For equal lines and spaces imaged with a feature-size to beam-size ratio of 1.5:1, the image contrast drops to 77% as shown in Figure 8c. This is still sufficient to delineate features with vertical resist profiles. Figure 8d shows 90 nm features imaged in CAR with a 60 nm beam size and a 60 nm print pixel. In principle, smaller features can be formed, but image size control and image placement accuracy become the limiting factors. 3.2 Corners (c) Corner rounding is a metric that is linked to mask pattern generator resolution. It might be thought that the radius of the aerial image at a pattern corner is equal to the radius of the point spread function, but this is not the case. Figure 9a shows a fictitious corner with the radius of a Gaussian point spread function, compared to the computed ideal corner. The ideal corner is less sharp, and its radius is not single-valued. Rather, the radius varies continuously along the perimeter of the feature, reaching a minimum at the point of symmetry. Because the radius is not well-defined, one alternative is to measure the pullback of the image from the nominal corner location. However, a more useful metric is to compute the effective corner radius r eff, based on the area lost due to rounding. This has the advantage that it relates to the amount of light that is blocked during optical exposure. The effective corner radius is defined as the single-valued radius resulting in the same lost area, and is given by the relationship: r eff = Alost 1 π / 4 where A lost is the lost area at a single corner. For the ideal corner profile in Figure 9a, r eff is 1.7 times the radius of the Gaussian point spread function. The nominal Raster Graybeam writing strategy produces corners with an effective radius that is slightly larger than the ideal corner, as shown in Figure 9b. However, a feature has been implemented on the MEBES exara system that optionally boosts the dose in pattern corners. With this option, the aerial image becomes about equal to the ideal corner image. In principle, additional corner dose results in an effective corner radius that approaches or even surpasses the fictitious performance (Figure 9c). (d) WO

8 Fictitious corner of radius r beam RGB with nominal corner dose boost Boost 1 Boost 2 Ideal corner RGB without corner dose boost Ideal corner (Ideal corner is shown dashed) (Corner with radius of beam is shown dashed) Figure 9. (a) Ideal aerial image of corner at 50% threshold, computed as convolution of design with Gaussian of radius r beam (half-width half-maximum). The effective radius is 1.7 larger than r beam. (b) Raster Graybeam corner formation with and without corner dose boost option. With the nominal boost, Raster Graybeam corners have the same profile as the ideal case. (c) Potential for sharper corners using higher dose boosting. 3.3 Jogs Jogs are a special case of the general behavior described in Sec One measure of jog reproduction is the accuracy of feature edge placement on either side of the jog. This is determined by the implementation of gray level printing, and the treatment of Raster Graybeam writing accuracy in Sec. 2.3 applies here. Although a step size of one effective pixel would be difficult to detect in a single feature, it would be perceptible in a statistical sampling of features. Fracturing of patterns with small jogs is not an issue, because the internal conversion to a gray map merges and effectively heals small slivers. Area lost Area gained Figure 10. (a) 45 nm jog design (at mask scale). (b) Convolution of design with a 70 nm Gaussian distribution, computed at the 50% threshold. (c) Raster Graybeam aerial image with a 60 nm print pixel and a 60 nm beam diameter (FWHM). The area lost at a jog is equal to the area gained, and the image is symmetric. Jog fidelity is also characterized by the feature contour at the nominal jog location. Figure 10a shows a jog with a step height of 45 nm at mask scale. Figure 10b shows the convolution of the pattern with a Gaussian PSF having a 70 nm diameter (FWHM). The equivalent beam size for Raster Graybeam is 60 nm (FWHM). The shape of the computed Raster Graybeam aerial image in Figure 10c is equivalent to the ideal image. The area lost is equal to the area gained, and the image is symmetric about the nominal jog location. 3.4 Angled features Angled features are useful for improved packing density and device performance. Figure 11a shows a test pattern with axis-parallel features, a 45º feature, and off-angle features (22.5º and 67.5º). Using a gray scale to indicate the eight exposure levels, the four Raster Graybeam writing passes are shown in Figure 11b. The aerial image for the 45º feature is similar to the axis-parallel features (Figure 11c). This is due to the tight periodic structure of the edge pixels. WO

9 2 pixels (d) Figure 11. (a) Angled feature pattern with linewidths of two print pixels. (b) Overlay of four Raster Graybeam writing passes, with the eight gray levels indicated. (c) Computed Raster Graybeam aerial image. Most of the edge roughness visible in the gray map is eliminated due to optimal assignment of edge doses. (d) Etched chrome image of 360 nm starburst pattern with 15º angular increments. For off-angle features, the pixel structure has a longer spatial period and the envelope defined by the individual beams in Figure 11b is scalloped. However, Raster Graybeam writing weights the pixel doses so that they individually provide the optimal match to the nominal edge location. When the individual exposures are summed, the resulting aerial image in Figure 11c is considerably smoother than the pixel map might indicate. On etched masks, it generally is not possible to resolve edge roughness related to the writing strategy for off-angle features. Figure 11d shows an example of angled feature performance. Because of the constant raster scan data rate, angled feature composition requires no more time than axis-parallel features. The input data required to define angled features in Raster Graybeam writing comprises large trapezoids rather than small stairsteps. Therefore angled features do not influence the input data volume. Based on both feature quality and productivity, angled feature reproduction is a particular strength of raster scan pattern generators. 3.5 General patterns Patterns are composed of edges, jogs, and corners falling anywhere on the effective grid. In Figure 12, two patterns are shown that have features consistent with 100 nm technology groundrules. These are designed so that the periodic features are staggered with respect to the print grid. The resist images in Figures 12b and 12d indicate that arbitrary patterns are accurately reproduced regardless of their location on the print grid. (d) Figure 12. (a),(c) Designs with 370 nm feature sizes and 50nm jogs (at mask scale). (b),(d) Resist images of MEBES exara patterning with 60 nm print pixel and 60 nm beam diameter (FWHM). Feature edges fall at different positions with respect to the print grid, but Raster Graybeam patterning on the MEBES exara system provides feature-to-feature reproducibility. OPC algorithms allow control of jog lengths and heights in order to optimize mask patterns. As these parameters are reduced in size, the OPC output tends towards smooth contours rather than coarse steps. Using Mentor Calibre OPCpro software to perform model based OPC, the size and aspect ratio (length / height) of each fragment can be tightly controlled and enforced, to avoid creating potential problems during mask inspection. Figure 13a illustrates an OPCcorrected layout which is compliant with current mask inspection technology. The Raster Graybeam rendering of this WO

10 pattern is shown in the etched chrome image in Figure 13b. As expected, the 60 nm beam size and the mask process smooth out the fine structure of the OPC design. However, the image contour follows the nominal profile expected for an ideal mask. (a) (b) Figure 13. (a) Model-based OPC pattern for 100 nm groundrules. (b) Etched chrome image on MEBES exara system with 60 nm print pixel and 60 nm beam diameter (FWHM). The finite beam size smoothes the jog transitions, but the edges are accurately positioned. Additional OPC complexity yields diminishing returns beyond a certain point. Fine tuning of the Calibre OPCpro model based OPC tool can be done in order to optimize OPC effectiveness as a function of the MEBES exara system beam size and the mask pattern transfer characteristics. This ensures the best rendering of the OPC shapes on the mask together with the best OPC correction that can be obtained on the wafer. 4. CONCLUSIONS Raster Graybeam writing on the MEBES exara system produces an effective writing grid that is 32 finer than the print pixel grid, by combining four writing passes with eight-level dose modulation. As a consequence, fine positioning of pattern edges does not compromise writing speed. The print pixel size is continuously variable between 60 nm and 120 nm, allowing a tradeoff between resolution and productivity. The variable print grid also provides the means to eliminate grid snapping errors by matching the tool grid to the pattern design grid. The nominal Raster Graybeam aerial image is equivalent to the ideal convolution of the pattern data with a Gaussian point spread function. Individual pixel control enables a corner dose boost feature that sharpens corners beyond this theoretical performance. On the MEBES exara system, 90 nm lines and spaces have been achieved, demonstrating the resolution required to manufacture clear and dark assist features. Raster Graybeam writing imposes no pattern constraints, allowing dense OPC and angled features to be reproduced without impacting mask writing time. The continuing trend towards more complex mask patterns will highlight the advantages of raster scan patterning. ACKNOWLEDGEMENTS The authors would like to acknowledge Volker Boegli for his development of a versatile Raster Graybeam simulator. REFERENCES 1. O. Toublan, E. Sahouria, N. Cobb, T. Do, T. Donnelly, Y. Granik, F. Schellenberg, and P. Schiavone, Phase aware proximity correction for advanced masks, Optical Microlithography XIII, Proc. SPIE vol. 4000, pp , F. Schellenberg and L. Capodieci, Impact of RET on physical layouts, Proc. ISPD, pp , L. Capodieci, R. Socha, U. Hollerbach, F. Chen, M. Dusa, N. Cobb, Y. Granik, E. Sahouria, and O. Toublan, Effects of advanced illumination schemes on design manufacturability and interactions with optical proximity corrections, Proc. SPIE vol. 4181, WO

11 4. C. Miramond, D. Goubier, M. Chomat, Y. Trouiller, Y. Rody, and O. Toublan, A leap ahead in mask data processing for technology nodes below 130nm, 21 st Annual BACUS Symposium on Photomask Technology, Proc. SPIE vol. 4562, pp , C. Mack, Impact of graybeam method of virtual address reduction on image quality, 21 st Annual BACUS Symposium on Photomask Technology, Proc. SPIE vol. 4562, pp , J. Chabala, S. Weaver, D. Alexander, H. Pearce-Percy, M. Lu, D. Cole, and F. Abboud, Evaluation of a high-dose, extended multipass gray writing system for 130-nm pattern generation, Emerging Lithographic Technologies IV, Proc. SPIE vol. 3997, pp , V. Chakarian, S. Bylciw, C. Sauer, D. Trost, M. Zywno, R. Teitzel, F. Raymond III, and F. Abboud, Lithographic performance results for a new 50 kv electron-beam mask writer, 20 th Annual BACUS Symposium on Photomask Technology, Proc. SPIE vol. 4186, pp. 1 15, C. Sauer, F. Abboud, S. Babin, V. Chakarian, A Ghanbari, R. Innes, D. Trost, and F. Raymond III, Initial benchmarking of a new electron-beam raster pattern generator for nm maskmaking, Emerging Lithographic Technologies IV, Proc. SPIE vol. 3997, pp , F. Abboud, S. Babin, V. Chakarian, A. Ghanbari, R. Innes, F. Raymond III, A. Sagle, and C. Sauer, Design considerations for an electron-beam pattern generator for the 130-nm generation masks, Photomask and x-ray mask technology VI, Proc. SPIE vol. 3748, pp , K.H. Baik, V. Chakarian, B. Dean, M. Lu, B. Naber, T. Newman, M. Wiltse, and F. Abboud, High productivity mask writer with broad operating range, Photomask and Next-Generation Lithography Mask Technology VIII, Proc. SPIE vol. 4409, pp , F.E. Abboud, K.H. Baik, V. Chakarian, D. Cole, J. Daniel, R. Dean, M. Gesley, M. Lu, R. Naber, T. Newman, F. Raymond III, D. Trost, M. Wiltse, and W. DeVore, 100-nm OPC mask patterning using raster-scan 50 kv pattern generation technology, 21 st Annual BACUS Symposium on Photomask Technology, Proc. SPIE vol. 4562, pp. 1-8, K.H. Baik, R. Dean, M. Mueller, M. Lu, H. Lem, S. Osborne, and F. Abboud, REAP (raster e-beam advanced process) using 50 kv raster e-beam system for sub-100 nm node mask technology, Emerging Lithographic Technologies VI, to be published in Proc. SPIE vol. 4688, TRADEMARKS MEBES and Etec are registered trademarks; exara and Tetra are trademarks of Etec Systems, Applied Materials Mask Business Group. All other trademarks are the property of their respective owners. WO

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. opyright 000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 4066, pp. 160-171. It is made available

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Multi-beam mask writer MBM-1000 for advanced mask making

Multi-beam mask writer MBM-1000 for advanced mask making Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. Slide 1 Multi-beam Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors

More information

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION Michal URBANEK a, Vladimir KOLARIK a, Milan MATEJKA a, Frantisek MATEJKA a, Jan BOK a, Petr MIKSIK b, Jan VASINA b a) ISI ASCR,v.v.i., Kralovopolska

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Image Filtering. Median Filtering

Image Filtering. Median Filtering Image Filtering Image filtering is used to: Remove noise Sharpen contrast Highlight contours Detect edges Other uses? Image filters can be classified as linear or nonlinear. Linear filters are also know

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

1.Discuss the frequency domain techniques of image enhancement in detail.

1.Discuss the frequency domain techniques of image enhancement in detail. 1.Discuss the frequency domain techniques of image enhancement in detail. Enhancement In Frequency Domain: The frequency domain methods of image enhancement are based on convolution theorem. This is represented

More information

Edge-Raggedness Evaluation Using Slanted-Edge Analysis

Edge-Raggedness Evaluation Using Slanted-Edge Analysis Edge-Raggedness Evaluation Using Slanted-Edge Analysis Peter D. Burns Eastman Kodak Company, Rochester, NY USA 14650-1925 ABSTRACT The standard ISO 12233 method for the measurement of spatial frequency

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

On spatial resolution

On spatial resolution On spatial resolution Introduction How is spatial resolution defined? There are two main approaches in defining local spatial resolution. One method follows distinction criteria of pointlike objects (i.e.

More information

Be aware that there is no universal notation for the various quantities.

Be aware that there is no universal notation for the various quantities. Fourier Optics v2.4 Ray tracing is limited in its ability to describe optics because it ignores the wave properties of light. Diffraction is needed to explain image spatial resolution and contrast and

More information

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013 Imaging across the world PMJ 213 Panel Discussion Challenges for future EB mask writers Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 213 vs. pixelated gray beam Two shaping apertures

More information

A Study of Slanted-Edge MTF Stability and Repeatability

A Study of Slanted-Edge MTF Stability and Repeatability A Study of Slanted-Edge MTF Stability and Repeatability Jackson K.M. Roland Imatest LLC, 2995 Wilderness Place Suite 103, Boulder, CO, USA ABSTRACT The slanted-edge method of measuring the spatial frequency

More information

Depth of Focus, part 2

Depth of Focus, part 2 T h e L i t h o g r a p h y T u t o r (Autumn 995) Depth of ocus, part Chris A. Mack, INL Technologies, Austin, Texas In the last column we began our search for a suitable definition for depth of focus

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO 11345 TITLE: Measurement of the Spatial Frequency Response [SFR] of Digital Still-Picture Cameras Using a Modified Slanted

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

The Statistics of Visual Representation Daniel J. Jobson *, Zia-ur Rahman, Glenn A. Woodell * * NASA Langley Research Center, Hampton, Virginia 23681

The Statistics of Visual Representation Daniel J. Jobson *, Zia-ur Rahman, Glenn A. Woodell * * NASA Langley Research Center, Hampton, Virginia 23681 The Statistics of Visual Representation Daniel J. Jobson *, Zia-ur Rahman, Glenn A. Woodell * * NASA Langley Research Center, Hampton, Virginia 23681 College of William & Mary, Williamsburg, Virginia 23187

More information

Keysight Technologies Why Magnification is Irrelevant in Modern Scanning Electron Microscopes. Application Note

Keysight Technologies Why Magnification is Irrelevant in Modern Scanning Electron Microscopes. Application Note Keysight Technologies Why Magnification is Irrelevant in Modern Scanning Electron Microscopes Application Note Introduction From its earliest inception, the Scanning Electron Microscope (SEM) has been

More information

Fig Color spectrum seen by passing white light through a prism.

Fig Color spectrum seen by passing white light through a prism. 1. Explain about color fundamentals. Color of an object is determined by the nature of the light reflected from it. When a beam of sunlight passes through a glass prism, the emerging beam of light is not

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Spectral phase shaping for high resolution CARS spectroscopy around 3000 cm 1

Spectral phase shaping for high resolution CARS spectroscopy around 3000 cm 1 Spectral phase shaping for high resolution CARS spectroscopy around 3 cm A.C.W. van Rhijn, S. Postma, J.P. Korterik, J.L. Herek, and H.L. Offerhaus Mesa + Research Institute for Nanotechnology, University

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Understanding Infrared Camera Thermal Image Quality

Understanding Infrared Camera Thermal Image Quality Access to the world s leading infrared imaging technology Noise { Clean Signal www.sofradir-ec.com Understanding Infared Camera Infrared Inspection White Paper Abstract You ve no doubt purchased a digital

More information

SEAMS DUE TO MULTIPLE OUTPUT CCDS

SEAMS DUE TO MULTIPLE OUTPUT CCDS Seam Correction for Sensors with Multiple Outputs Introduction Image sensor manufacturers are continually working to meet their customers demands for ever-higher frame rates in their cameras. To meet this

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information

Optical Performance of Nikon F-Mount Lenses. Landon Carter May 11, Measurement and Instrumentation

Optical Performance of Nikon F-Mount Lenses. Landon Carter May 11, Measurement and Instrumentation Optical Performance of Nikon F-Mount Lenses Landon Carter May 11, 2016 2.671 Measurement and Instrumentation Abstract In photographic systems, lenses are one of the most important pieces of the system

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Computer Vision. Howie Choset Introduction to Robotics

Computer Vision. Howie Choset   Introduction to Robotics Computer Vision Howie Choset http://www.cs.cmu.edu.edu/~choset Introduction to Robotics http://generalrobotics.org What is vision? What is computer vision? Edge Detection Edge Detection Interest points

More information

8.2 IMAGE PROCESSING VERSUS IMAGE ANALYSIS Image processing: The collection of routines and

8.2 IMAGE PROCESSING VERSUS IMAGE ANALYSIS Image processing: The collection of routines and 8.1 INTRODUCTION In this chapter, we will study and discuss some fundamental techniques for image processing and image analysis, with a few examples of routines developed for certain purposes. 8.2 IMAGE

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

GafChromic EBT2 and EBT3 Films for Ball Cube II Phantom

GafChromic EBT2 and EBT3 Films for Ball Cube II Phantom GafChromic EBT2 and EBT3 Films for Ball Cube II Phantom Introduction: These EBT2/EBT3 films, shown in Figure 1a-c, are specially sized and formatted to uniquely fit the Accuray Ball Cube II Phantom. Each

More information

Amorphous Selenium Direct Radiography for Industrial Imaging

Amorphous Selenium Direct Radiography for Industrial Imaging DGZfP Proceedings BB 67-CD Paper 22 Computerized Tomography for Industrial Applications and Image Processing in Radiology March 15-17, 1999, Berlin, Germany Amorphous Selenium Direct Radiography for Industrial

More information

Application Note (A11)

Application Note (A11) Application Note (A11) Slit and Aperture Selection in Spectroradiometry REVISION: C August 2013 Gooch & Housego 4632 36 th Street, Orlando, FL 32811 Tel: 1 407 422 3171 Fax: 1 407 648 5412 Email: sales@goochandhousego.com

More information

Exercise questions for Machine vision

Exercise questions for Machine vision Exercise questions for Machine vision This is a collection of exercise questions. These questions are all examination alike which means that similar questions may appear at the written exam. I ve divided

More information

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography Erdélyi et al. Vol. 16, No. 8/August 1999/J. Opt. Soc. Am. A 1909 Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography M. Erdélyi and Zs. Bor Department

More information

WFC3 TV3 Testing: IR Channel Nonlinearity Correction

WFC3 TV3 Testing: IR Channel Nonlinearity Correction Instrument Science Report WFC3 2008-39 WFC3 TV3 Testing: IR Channel Nonlinearity Correction B. Hilbert 2 June 2009 ABSTRACT Using data taken during WFC3's Thermal Vacuum 3 (TV3) testing campaign, we have

More information

Measurement and alignment of linear variable filters

Measurement and alignment of linear variable filters Measurement and alignment of linear variable filters Rob Sczupak, Markus Fredell, Tim Upton, Tom Rahmlow, Sheetal Chanda, Gregg Jarvis, Sarah Locknar, Florin Grosu, Terry Finnell and Robert Johnson Omega

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information