Review of Wafer Dicing Techniques for Via-Middle Process 3DI/TSV Ultrathin Silicon Device Wafers

Size: px
Start display at page:

Download "Review of Wafer Dicing Techniques for Via-Middle Process 3DI/TSV Ultrathin Silicon Device Wafers"

Transcription

1 Review of Wafer Dicing Techniques for Via-Middle Process 3DI/TSV Ultrathin Silicon Device Wafers Andy Hooper, Jeff Ehorn, Mike Brand, and Cassie Bassett Micron Technology, Inc S. Federal Way, Boise, ID Abstract Dicing of ultrathin (e.g. <75um thick) via-middle 3DI/TSV semiconductor wafers proves to be challenging because the process flow requires the dicing step to occur after wafer thinning and back side processing. This eliminates the possibility of using any type of dice-before-grind techniques. In addition, the presence of back side alignment marks, TSVs, or other features in the dicing street can add challenges for the dicing process. In this presentation, we will review different dicing processes used for 3DI/TSV viamiddle products. Examples showing the optimization process for a 3DI/TSV memory device wafer product are provided. Introduction The dicing of ultrathin semiconductor device wafers with thicknesses <75um can be challenging [1], but this is not due to the wafer thickness alone. The structure of metal and dielectric features in the street can have a large effect on the success or failure of a dicing process. In addition, the use of mechanically fragile low-k and ultra low-k dielectrics in the device structure can present additional issues such as: 1) delamination in the device layers, 2) excessive front side and/or back side chipping, 3) excessive die edge sidewall cracking or chipping, 4) long-term reliability issues related to crack propagation, and 5) weak die that break during die pick. An application requiring ultrathin die are threedimensional integrated circuits (3DI) using vertical connections by through-silicon-vias (TSVs). In this case, ultrathin wafers are required to maintain a reasonable TSV aspect ratio. An example of 3DI technology is Micron's Hybrid Memory Cube (HMC) as shown in Fig. 1. The HMC design employs DRAM stacked atop high-performance logic through TSV connections. Potential benefits of this design include higher processor-to-memory bandwidth and reduced power consumption Figure 1. Image of a Micron's Hybrid Memory Cube 3DI die stack (nine-die stack) with and without the lid. Additional issues arise for dicing 3DI wafer that use the via-middle process. For this case, the wafers must be diced after the wafer thinning and backside processing has been completed. Back side features include alignment marks and flip chip bumps utilizing an under-bump metallization (UBM) pad. The presence of these features can place additional restrictions on the size and degree of back side chipping compared to ultrathin wafers with silicon back sides. All of these factors combine to make ultrathin wafer dicing unpredictable from product to product. Some products may dice easily using a simple through-cut saw process, while other products require more advanced techniques such as laser-based dicing. In the worst cases when no ideal dicing process can be found, it may become necessary to either 1) modify the wafer design to resolve the issue, or 2) to accept a larger-than-normal yield loss due to damage at the dicing step. The goal of this manuscript is to compare and contrast the current commercially available dicing techniques for dicing ultrathin silicon wafers for 3DI/TSV via-middle applications. Because dicing processes are highly dependent on the product and process, the review section will be a broad overview. The processes compared are: 1) wafer saw 2) laser full cut dicing, 3) laser scribe/groove + saw hybrid dicing, 4) Stealth dicing, and 5) plasma dicing. In the 2nd part of the paper a detailed case study showing how to optimize blade and laser-based dicing on a 3DI/TSV product wafer is provided. Materials and Methods Several definitions, abbreviations, and assumptions are used in this manuscript: The front side of a 3DI/TSV wafer is defined as the side with circuitry or "device layers". The back side of the wafer is primarily silicon, but for 3DI/TSV wafers there will also be features such as UBM pads and alignment marks. Front side chipping (FSC) must be contained within the dicing street to not damage to the circuitry. For saws, the blade enters from the front side. Back side chipping (BSC) - as the saw blade exits the back side, the pressure from the advancing blade results in significantly larger BSC compared to FSC. However, the lack of circuitry on the back side can allow typical BSC limits to be larger than FSC. Chipping depth or edge chipping As shown in Fig. 2, both FSC and BSC have a length, width, and depth. If the chipping depth is excessive the die strength can be reduced. Die break strength (DBS) a mechanical test used to measure the force required to break a statistically /15/$ IEEE Electronic Components & Technology Conference

2 significant population of die. Different DBS test methods exist such as 3-point bending [2], 4-point bending, and ball-and-ring. It's common to compare DBS for both the "device side in tension" and the "back side in tension." Percent yield (% yield) There are 2 different types: o "Dicing % yield" is the % of die on a wafer with acceptable chipping. If a single chip on any die exceeds the pre-defined limits for FSC, BSC, or edge chipping then the die is scrapped. o "Die pick % yield" is percentage of die that picked from a wafer without breaking. Throughput - number of wafers processed per hour (WPH). Cost of Ownership (COO) an estimation of direct and indirect costs of a process. It is used to calculate cost per wafer. extensive experience with this technique. It s important to note that the wafer thickness alone isn't necessarily the primary challenge for finding a viable saw process. The dimensions and structure of the features in the dicing street can play a significant role in the final process. For example, devices using low-k dielectrics may have excessive FSC due to the lower mechanical strength of these materials [3]. The features in front side scribe streets also can increase BSC due to blade vibrations caused by entering and exiting metal as opposed to dielectric materials (aka "railroad tracking"). For some products, cracks from the dicing saw can propagate under the crack stop [4]. Two common methods of saw dicing are 1) a one-blade "through-cut" as shown in Fig. 3, and 2) a two-blade "stepcut" as shown in Fig. 4. A through-cut is simply dicing a wafer using a single blade to cut completely through the wafer with each pass. For step-cut, two passes are made to cut through the wafer using two different blade thicknesses as shown in Fig. 4. The first blade cuts through the device layers and into the bulk silicon. The second blade is slightly narrower than the first, and cuts through the remaining silicon on the second pass. Figure 2. Chipping measurement diagram. The following equipment was used to carry out the experiments: Through cut and step cut wafer saw was performed on DISCO DFD600 series Dicing Saws Laser scribing/grooving was performed using a DISCO DFL7000 Laser Saw Die break strength (DBS) was tested on a 3pt bend fixture using a Texture Technologies mechanical tester and load cell at a test speed of 0.5 mm/s Front side chipping (FSC) was measured for every die using a wafer automated inspection tool Back side chipping (BSC) was performed by manual inspection using an Olympus semiconductor inspection microscope. Figure 3. Through cut dicing process All techniques were compared using the same live product type which was a 3DI/TSV semiconductor memory product device. The product was specifically selected because it had a complex design of metal features in the dicing street and presented a real challenge demonstrating dicing process optimization. Review of Dicing Techniques for 3DI/TSV Wafers Several dicing techniques exist for dicing ultrathin wafers using the 3DI/TSV via-middle process: Saw Blade Dicing Saw blade dicing has an advantage because semiconductor manufacturers have an installed base of dicing saw tools and Figure 4. Step cut dicing process 1437

3 A tilted SEM image of typical saw-diced die is shown in Fig. 5. For ultrathin wafers, chipping is minimized by optimizing the blade type, blade RPM, and saw feed rate for different products. In addition, chipping can be affected by other factors. The brand/model of dicing tape used can cause chipping variations. The degree and size of chipping can also vary by the cut axis, for example, the short side of a rectangular die may have more chipping than the long. Finally, chipping can be location-specific. It is possible to see cracking at a particular problematic feature in the dicing street that repeats in the same location across a wafer. Figure 6. SEM images of ZH05-SD2000 series blade: tilt shot showing sidewall of blade (left) and zoom of tip (right) Figure 7. SEM images of ZH05-SD3500 series blade: tilt shot showing sidewall of blade (left) and zoom of tip (right) Figure 5. Tilted SEM image of a saw diced ultrathin die Next, we will discuss the common properties of dicing saw blades. Dicing saw blades can be customized based on a variety of parameters. For example, DISCO ZH05 series Nibonded hub blades are commonly used to cut silicon and III-V semiconductor wafers [5]. First, selecting the blade width depends on the street width of the device and desired kerf width. The blade exposure defines the amount of blade material available to cut. Higher exposures can yield longer blade lifetimes though shorter exposures will be more stable at a higher RPM. Blade mesh indicates the relative size of the diamonds used to construct the blade, with smaller mesh indicating smaller diamond size. Larger diamonds have more cutting power though can exacerbate chipping, while smaller diamonds can provide a cleaner cut. However, if the mesh selected is too fine, the blade may not have sufficient cutting power to dice the wafer [6]. Blade concentration refers to the relative amount of diamonds in the abrasive portion of the blade. For DISCO s ZH05 blades, a concentration of 100 indicates 25% diamond grit by volume [5]. Fig. 6 reveals a SEM image of a ZH05- SD2000 series blade which has a lower quantity of relatively larger diamonds. Contrast that with Fig. 7 showing a SEM image of a ZH05-SD3500 series blade which has a higher quantity of relatively smaller diamonds. Stealth Dicing Stealth dicing is a laser-based dicing technique that uses a high powered laser to disrupt the crystalline lattice of the silicon substrate which is then singulated by expanding (stretching) the dicing tape [7]. The laser wavelength selected is normally transparent to silicon except at the high-intensity focal point. Because laser-silicon interactions only occur at the focal point, the position where the crystalline lattice is disrupted (called the Stealth Dicing Layer or SD-Layer) can be carefully controlled by laser beam positioning. For ultrathin wafers, one pass of the laser down the dicing streets is required. Thicker wafers may require multiple passes at different depths for singulation. The key benefits of this technique are that it has zero kerf width, produces no debris, and ideally has no front and back side chipping. The lack of chipping can also result in higher DBS. For ultrathin via-middle 3DI/TSV wafers, the wafer must be stealth diced after the thinning and back side processing has been performed. This potentially creates a problem because any features in the back side street can block or interfere with the laser energy transmission. Ways to address this problem include: Exclusion Zone Method: If the dicing street is not free of metal then a metal-free exclusion zone can be designed into the device as shown in Fig. 8. Wafer Flipping Method: The wafer can be processed from the back side where there are typically no metal features in the dicing streets. This can be achieved by flipping the wafer over and remounting on a dicing tape frame. Images of a Memory Device A wafer processed using the wafer flipping process is shown in Fig. 9 showing the morphology of the SD-layer and effectively zero front and back side chipping. 1438

4 Through-Tape Process: Hamamatsu Photonics website reports that back side Stealth dicing through from the dicing tape is possible with a special transparent tape. After the laser portion of the Stealth Dicing has been completed tape expansion is required to singulate the die. This step must also cleave apart the front side metal and dielectric layers and singulate any Die Attach Film (DAF) present (if used). Tape expansion also provides separation distance between die to facilitate die pick Laser Full Cut Wafer Dicing Laser full cut wafer dicing involves cutting through the wafer using only a high power laser via a process called "ablation" [8]. An example is shown in Fig. 10. Usually, the dicing recipe employs a two-step process. For the first pass, the laser parameters are optimized for scribing through the device layers and slightly into the underlying silicon wafer [9]. This first step is usually necessary to reduce chipping & delamination, or to maximize front side in tension DBS. Next, the laser parameters can be changed to a "silicon cutting" recipe to dice the rest of the way through the wafer. This can require numerous passes of the laser depending on the wafer thickness or recipe parameters. In situations when the wafer is mounted on DAF, a third set of laser parameters may be required to cut through the DAF after the silicon has been cut through. Figure 8. Stealth dicing process Figure 10. Full cut laser dicing Figure 9. Microscope and tilt-sem image of a die processed by Stealth dicing process: a. back side of die, b. front side of die, and c. SEM image along die sidewall. The primary benefit of this technique is that the laser is able to "saw" through any typical semiconductor material such as metals, dielectrics, and DAF without applying mechanical stress to the wafer. And, the FSC and BSC ideally should be insignificant compared to saw dicing. Unfortunately, full cut laser dicing comes with some major drawbacks. First, a significant amount of debris is generated from the process and a spin-on protective coating must be applied to the wafer before dicing. This requirement adds an additional process step and cost to the process. Second, the laser creates an undesirable heat affected zone (HAZ) along the sides and edges of the die. The HAZ is composed of a layer of melted, reflowed, and recystallized silicon [10]. For processes that use high power + low feed rates, a thick HAZ is accompanied by a ridge of material forming along the top edge of the kerf. A FIB/SEM cross section of this effect is shown in Fig

5 Figure 12. SEM images of the edge of a full cut laser diced die a. before and b. after XeF 2 etch. Figure 11. FIB/SEM image of the HAZ edge of a wafer diced using the full cut laser dicing process The HAZ generate by full cut laser dicing is problematic, and some interesting strategies have been published in an effort to address this specific issue: Post Dicing Plasma Etch. In 2007, Samsung published a paper that explored using RF plasma with CF 4 and O 2 etching gasses to remove the heat affected zone post laser full cut dicing [11]. While they did observe removal of the HAZ and an increase in DBS, the circuitry side of the die was visibly damaged by the ion bombardment because a mask layer was not used. Post Dicing Wet Chemical Etch by KOH. The same group at Samsung [11] also explored wet etching laser full cut dies in a 20 wt% / 80 C KOH solution for times up to 40 minutes. As etch time increase the observed the die sidewalls becoming smoother and a significant increase in DBS. They did not comment if there was any observable damage to the circuitry. Post Dicing XeF 2 non-plasma post Etch XeF 2 gas has been used to isotropically react with and remove the HAZ from the sidewalls of full cut laser diced wafers [12]. Because the XeF 2 preferentially etches silicon over metal/dielectrics, no mask is required. Fig. 12 shows sidewall images of a product die before and after XeF 2 exposure. For this case, the die circuitry/device layers were undamaged by the XeF 2 exposure, and the die sidewalls changed from a melted surface into a reticulated surface. Laser Scribing (Grooving) Laser scribing + saw hybrid processing (also called laser grooving) is a compromise between saw dicing and full cut laser dicing. For this process, the laser is used to scribe only through the device layers on the front side of the wafer. Then, the saw is used to cut through the silicon substrate. This combination allows FSC to be minimize (especially for devices with low-k dielectrics) while greatly reducing the HAZ produced by full cut laser dicing. One strategy is shown in Fig. 13. For this method, the device layers in the street are cut through using 2 grooves on both sides of the dicing street followed by saw blade dicing. For this strategy, the grooves serve to mechanically isolate or "disconnect" the street from the rest of the device, and ideally prevent crack propagation. A second strategy is shown in Fig. 14. First, two grooves are cut to define the edges of the kerf. Next, the laser is used to completely ablate of all features in the middle of the dicing street in the region where the saw will pass. Since metal/dielectric features in the dicing street can cause blade agitation, removing them can reduce BSC. A SEM image of a die cut using Broad Scribing is shown in Fig. 15. One drawback of this technique is that this process still requires that a protective coating be applied to the wafer before dicing to capture debris generated from the laser scribing. This adds an additional process step and costs to the process. Figure 13. Hybrid laser grooving + saw process 1440

6 Figure 16. Example of plasma diced wafer complements of Panasonic Factory Solutions Co. Ltd. Figure 14. Hybrid "broad scribing" + saw process Figure 17. Example of plasma dicing process Figure 15. Tilted SEM image of a die processed using the laser + saw dicing process shown in Fig. 14 Plasma Dicing Plasma dicing involves dry etching the wafer using deep reactive ion etch (DRIE). This technique ideally generates no mechanical stress to the wafer during dicing, generates no debris, yields zero FSC & BSC, and creates stress-free die sidewalls yielding a potentially higher DBS [13]. An image of a plasma dice wafer is shown in Fig. 16. A diagram of plasma dicing is shown in Fig. 17. There are two primary considerations for plasma dicing. First, a mask layer is required to protect the active circuitry from damage by the plasma and/or ion bombardment. For the case of via-middle wafers, because plasma dice before grind is not viable, a custom-build mask application module would be required that could handle ultrathin wafers on dicing tape frames. Second, the standard DRIE process that is employed to etch silicon is ineffective for etching metals. Hence, a nonmetal exclusion zone must be included in the dicing street to facilitate plasma dicing. Alternatively, for a case without a dicing street exclusion zone, the wafer could conceivably be flipped and plasma diced from the back side but a method to singulate the metal device layers would still be required. Finally, since plasma dicing requires exposure to vacuum, the dicing tape cannot contain air bubbles or be susceptible to damage from vacuum exposure. 1441

7 Table 1. Dicing Methods (Benefits and Drawbacks). Dicing Method Benefits Drawbacks Saw Dicing Tooling is relatively low cost. Mechanical stresses are applied to wafer. Leverages installed tool base. Die can fly off of tape during dicing. Well known process. Debris (saw sludge) is Low tool footprint. generated that must be Tool is much less washed off, FSC and BSC complex compared to is significantly larger laser-based dicing compared to laser/plasma leading to shorter dicing techniques. training times for tool operators. Blade costs can be expensive. Dicing kerf width is limited by street width. Stealth Dicing Full Cut Laser Dicing Laser Scribing + Saw Dicing Plasma dicing Zero kerf width which can in turn lead to a reduction in street width and increase in DPW. No FSC or BSC. Significantly higher DBS. No debris generated & no post-dicing cleaning required WPH increases as product wafers become thinner. Smaller kerf width compared to saw, no significant FSC or BSC. Ablation process has no material limitations. It can reduce or eliminate FSC compared to saw. Increased DBS due to reduced FSC compared to saw. Removing metal features in dicing street may allow saw higher feed rates resulting in higher throughput for laser + saw compared to saw only. Eliminates FSC and BSC. No mechanical stresses applied to wafer. DBS may be increased by plasma etch "stress removal". It can use endpoint detection. It can increase die per wafer from reducing street width. It does not cut metal or dielectrics and device layers are cleaved using tape expansion. Tooling is expensive and requires tape expansion tool or module. Less effective for device wafers where street is not aligned with crystalline axis. Tooling is expensive. Laser maintenance is expensive. DBS is low due to HAZ It is not viable for thicker wafers. HAZ etch/removal process adds additional process steps and cost. It is dependent on layout and structure of features in the dicing street. Process requires protective coating and post laser cleaning to remove debris which can be expensive. Wafers are fragile being transported after laser scribing to the dicing saw and can be damaged. It requires a silicon exclusion zone in the dicing street or processing from back side of wafer. Tool is relatively expensive requiring high throughput to meet COO. System has a large footprint, requires exhaust abatement, and requires etch gasses that typically are not found on an assembly and packaging floor. Exposed circuitry layers require mask to protect from damage [11]. Throughput for thicker wafers is slower compared to thin wafers. Discussion of Dicing Optimization Process When new semiconductor products arrive at the wafer dicing step, process optimization is often necessary. This process begins by using practice wafers that are mechanically identical to live wafers, but lack electronic functionality. Initially, the wafers are diced by trying a recipe from a similar product type. Fig. 18 shows an example of a failed first attempt on a practice product wafer. Excessive and sporadic BSC with edge chipping that initiated near the front side of the die was observed as shown in Fig. 18a. In addition, front side cross sections of the die in proximity to these large back side chips revealed catastrophic hairline cracks that propagated into the device layers as shown in Fig. 18b. We will now discuss the process employed to optimize the dicing process for this device for both saw and laser + saw dicing methods. Figure 18. Cross section of a semiconductor die that was diced using an unoptimized step-cut process. a. excessive BSC with edge chipping initiating near the front side of the wafer, and b. hairline crack propagation into device layers Mechanical Dicing Optimization As discussed previously the three major defect types pertaining to mechanical dicing are FSC, edge chipping, and BSC. The issue facing the product TSV wafers was a qualification failure linked to back side chipping so large they were breaking through to the circuitry side as shown in Fig. 18. Fig. 19 shows this chipping from the perspective looking along the die sidewall for product by the microscope inspection method shown in Fig. 20. Figure 19. Sidewall view of product die with back side chipping at 10x magnification circuitry is on the top side. 1442

8 Results from the DOE showed that for the response of back side chipping the most significant factor by far was the Z1 mesh using an alpha value of Other factors that were borderline significant just above the selected value of alpha included the Z2 Spindle RPM and Z2 mesh. See sidewall image of best initial result below in Fig. 21. Figure 20. Microscope inspection of die for sidewall cracking and back side chipping The originally developed dicing process was a step cut. The Z1 blade was a DISCO ZH05-SD3500 series blade, and the Z2 was a DISCO ZH05-SD4000 series blade. Z1/Z2 RPMs were set at 45,000/25,000 and the wafer feed speed was 15mm/sec. Dicing was performed on DISCO 6300 series dicing saws. This process had worked successfully on non- TSV products previously but was shown to have poor results when applied to the Memory Device A practice wafers. A screening DOE was initiated to study the primary factors that may influence cut quality. These included the synthetic diamond size (mesh), relative diamond quantity (concentration), and Z2 blade speed. Factors held constant in DOE #1 were the wafer type, wafer thickness, dicing tape, Z1/Z2 blade width and exposure, specific dicing saw, and Z1 blade speed. It was postulated that because the defect mode was back side chipping that changes in the Z2 finishing blade speed would have a more significant impact than Z1. A DOE was generated which tested high/low conditions for the aforementioned factors. For the blade mesh, for example, DISCO ZH05 blades area available ranging from 1500 to 5000, with 1500 being the most coarse/largest diamond size and 5000 being the smallest. In this initial study for Z1 mesh the low end was set to be 1700 and the high end at 3000 based on blades available at the time of the experiment. Z2 mesh ranged from Z1 concentration from , Z2 concentration from 50-90, and Z2 Blade RPM from 20,000-45,000. Sixteen wafers that were available for experimentation were diced using eight treatment combinations with two replicates per condition. Twelve die per wafer from the four were picked and inspected on an Olympus microscope at 20x magnification (see Fig. 20). The die were checked for any sidewall cracks as well as back side chipping along the entire length of both one short and one long axis of each die. If the dimensions of a single crack on the die exceeded a pre-defined limit, it was considered a reject. These die counts were totaled for both short/long axes and averaged to form an overall % failure number for each treatment combination. The data was put back into JMP and a model fit to determine the significance of each factor. The baseline DC/BB step cut process showed an average failure rate for back side chipping of around 40-50% of die inspected. Figure 21. Sidewall view of product die on best optimized dicing condition at 20x magnification circuitry is on the top side. Based on the initial result it was desired to then extend the range of the factors further to test Z1 mesh, Z2 mesh, and Z2 Spindle RPM both at higher levels. Additional blades were procured to test Z1 mesh at 3000/3500/4000, Z2 mesh at 4000/4500/5000, and Z2 spindle RPM at 45k/50k/55k/60k. Step-wise experiments were run testing each factor individually and then inspecting 12 die per wafer using the same method described earlier. The first test involved using three different combinations of Z1/Z2 mesh as follows: 3000/4000, 3500/4500, and 4000/5000. Two baseline wafers diced showed 52% failure rates for back side chipping. Four wafers were diced per experimental condition and the results averaged. These three conditions produced failure rates of 26%, 6%, and 11% respectively. Although qualitatively the edge of the wafer on the back side appeared to be rougher with successively higher mesh, none of the chipping recorded was outside of internal specs. Thus it was concluded that the 3500/4500 mesh combination was the most optimized. See sidewall examples below in Fig. 22, 23, and 24. Lastly it was desired to further explore higher Z2 blade RPM set points above 45,000 for any potential gain. As previously noted the 45,000 Z2 RPM set point appeared to be best and as a result 50k/55k/60k were explored. The maximum safe limit of the blade used was 60,000 RPMs. Two wafers per experimental condition were diced and compared to baseline conditions. BSC was inspected addition to measuring the average and maximum back side chip width. See Fig. 2 above for measurement diagram. Results showed that the three conditions explored were not significantly different for BSC depth or BSC width measurements compared to the 45,000 set point. Figure 22. Back side of product die diced using Z1/Z2 3000/4000 mesh combination blades. 1443

9 Figure 23. Back side of product die diced using Z1/Z2 3500/4500 mesh combination blades. Figure 24. Back side of product die diced using Z1/Z2 4000/5000 mesh combination blades. Hybrid Laser Scribe/Groove + Saw Dicing Optimization For laser scribing of semiconductor device layers, there are 3 unique regimes that should be explored to find an optimized process [10]. For this manuscript we will define these regimes by the laser "bite size" and "laser spot overlap" as shown in Fig. 25. The three are summarized as follows: 1) the "zero overlap" regime where the bite size is larger than the spot size, 2) the "partially overlap" region that includes the region from ~30% to ~70% overlap, and 3) the highly overlapping region. An optimized process may be found in any of these regions depending on the layout and structure of features in the dicing street. Creating recipes for these 3 regimes is achieved by calculating the required laser rep rate & feed rate to achieve the needed bite size and spot-overlap combinations for each regime. Next, wafer scribing is performed for each regime with a series of different laser powers. These "power runs" are then inspected by microscope or SEM to determine the minimum power required to cut through the device layers into the silicon substrate. Once the minimum power is determined, the dicing engineer can select a higher power to provide an acceptable process window for the normal process variations that occur from wafer to wafer. For the case of the initial product, a small bite-size and highly overlapping laser process was initially selected with an 80% spot overlap and 2 um bite size using the process shown in Fig. 14. Although this process achieved good FSC and BSC results, 30% of die broke during die pick. Subsequent DBS measurements revealed that the mean device side in tension DBS (i.e., the side under tension during die pick) had decreased by ~60% compared to the optimized saw process. For the next step, a 50% overlap process was investigated. In order to reach the 50% overlap using a reasonable laser rep rate, the feed rates required were over 200 mm/s. At these velocities, street feature-related chipping and delamination was observed as shown in Fig. 26 on the product. It is possible that a process could have been found by dropping the power and doing multiple passes, but a multi-pass process was deemed too slow to meet throughput requirements. Hence, the 50% overlap process was deemed not viable for the product. Figure 25. Defining Bite Size and %Overlap. Figure 26. Laser Grooves compare at different feed rates with the power normalized to 50 J/cm 2. Gross chipping at critical features was observed to increase with feed rate. Next, a zero-overlap scribing process was investigated. Fig. 27 shows a microscope image of the dicing street after the zero-overlap process but before saw. The scalloped shape from the single pulses is clearly visible along the kerf edges. Unfortunately, the single pulses were ineffective at removing the larger metal features in the dicing street. Using higher laser power to remove the metal was successful, however, the laser pulses drilled deeply into areas without metal features creating via-like structures in those areas. Although the zero-overlap process was not effective for metal feature removal, the DBS results for this process was approximately two times stronger than the highly-overlapping first attempt process. This led to two conclusions: 1) the smaller HAZ provided by the zero overlap increased the DBS, and 2) the 50% overlap process revealed that higher feed rates can cause street feature-specific chipping and delamination for this product. This suggested that the ideal process would be found at 1) lower powers and 2) lower feed rates. After performing some comparison experiments at different laser 1444

10 powers and feed rates (at fixed rep rate) a 90% overlap process with a ~1um bite size was qualified. This was achieved by dropping both the laser power and the feed rate by 80%. A tilted SEM image of the final, optimized process is shown in Fig. 28. The DBS results are shown in Fig. 28 and they are comparable to the saw process. Figure 29. DBS results for the laser and saw processes. Figure 27. Microscope image of dicing street using zerooverlap dicing process after laser processing but before saw. Incomplete removal of street metal features was observed. Figure 28. Tilt SEM image of a die diced using the optimized Hybrid Laser Groove + Saw Dicing process Discussion The summary of front side in tension DBS data is shown in Fig. 29 (the back side in tension DBS results were high for all processes and are not presented). For the initial first attempt laser grooving process using a known good recipe for a similar product, it was observed that 30% of the die broke during pick. The resulting device side in tension DBS had reduced by 60% compared to the saw step cut process. After performing recipe optimization, the die pick yield increased to >99% and the device side in tension DBS was increased by ~2x. An optimized process for the product was also developed for Stealth dicing for comparison. This was performed by using the wafer flipping and backside process. The DBS results are included in Fig. 29. The stealth diced die had an insignificant amount of chipping as shown in Fig. 9, and this is reflected in the DBS. The Stealth process had the highest front side in tension DBS compared to both the saw or laser + saw processes. Weibull analysis was performed on the data sets for the optimized saw process compared to both the unoptimized and optimized laser + saw process as shown in Fig. 30 and Table 2. Details about how to perform and interpret Weibull analysis for DBS can be found here [14]. Weibull analysis is useful for small data sets and provides a graphical method to compare results. The horizontal scale is a measure of life or aging parameter. The vertical scale is the cumulative percentage failed. If the points show a linear fit as observed in Fig. 30, it indicates that the Weibull distribution model is a good choice from a statistical point of view. The slope, β, indicates which class of failures is present (aka the bathtub curve ): β < 1.0 indicates infant mortality followed by a failure rate that decreases with time. β = 1.0 means random failure rate is independent of time β > 1.0 indicates wear out failures, or the rate of failures increases with time. The parameter represents the characteristic life which is defined as the point where 63.2% cumulative failures have occurred. Figure 30. Weibull analysis of saw and laser + saw dicing processes. The color bands indicate 95% confidence. 1445

11 Table 2. Weibull analysis of selected DBS data. Alpha parameters were normalized to the saw step cut. By comparing the graphs in Fig. 30 and the and β parameters for the three processes in Table 2, we can gain insights about these processes. Because the optimized laser and optimized step cut fit lines intersect it reveals that the DBS for these two processes are not significantly different. Next, the parameters indicate that the optimized laser scribe process has the longest characteristic life, followed by the saw process. Next, the difference in the slopes between the three plots indicates that each process has different flaw populations. Specifically, the optimized laser scribe process results in a larger flaw population than the step cut process. Conclusion This report summarizes a wide range of dicing techniques that are suitable for 3DI/TSV wafers using via-middle process. Step-by-step procedures were provided for how the saw and laser + saw processes are optimized for these types of wafers. The final optimized processes had significantly reduced FSC and BSC and significantly higher DBS compared to baseline processes. Acknowledgement We would like to thank Panasonic Factory Solutions Co. Ltd. for supplying a plasma dicing Fig. 16. References 1. W-S. Lei, A. Kumar, and R. Yalamanchili, Die singulation technologies for advanced packaging: A critical review, J. Vac. Sci. Technol. B, vol. 30, no. 4, pp , Jul/Aug SEMI Standard G , 2003, Test Method for Measurement of Chip (Die) Strength by Mean of 3-Point Bending, Z.J. Wang, S. Wang, J.H. Wang, S. Lee, Y. S.Ying, R. Han, and Y.Q. Su, 300mm Low K Wafer Dicing Saw Study, in Proc. IEEE th International Conference on Electronic Packaging Technology (ICEPT), Shenzhen, China, Aug. 32 Sep 2, 2005, pp D. C. Edelstein, Integration/Reliability Issues for Cu/lowk BEOL Interconnects, in Proc. International Interconnect Technology Conference (IEEE), Burlingame, CA, Jun. 4-6, DISCO Corporation, Electroformed Bond Hub Blades ZH05 Series, "Advanced Hub blade for Improved process stability and consistency, 6. UKAM Industrial Superhard Tools, "Selecting the Right Diamond Dicing Blade for your Application," 7. M. Kumagai, N. Uchiyama, E. Ohmura, R. Sugiura, K. Atsumi, and K. Fukumitsu, Advanced dicing technology for semiconductor wafer Stealth dicing, in Proc. IEEE International Symposium on Semiconductor Manufacturing (ISSM),Tokyo, Japan, Sept , 2006, pp D-H. Kim, Y-J. Kim, K-S. Seong, J-K. Song, B-C Kim, C- H. Hwang, and C-H. Lee, Evaluation for UV Laser Dicing Process and its Reliability for Various Designs of Stack Chip Scale Package, in Proc. Electronic Components and Technology Conference (ECTC), San Diego, CA, May 26-29, 2009, pp A. Hooper, D. Barsic, H. Zhang, and J. O Brien, Laser scribing of copper/low-k dielectric semiconductor materials by nanosecond and ultrafast pulsewidth lasers, in Proc. 42nd International Symposium on Microelectronics ( IMAPS), San Jose, CA, Nov. 1-5, A. Hooper and D. Finn, Analysis of Silicon Micromachining by UV Lasers, and Implications for Full Cut Laser Dicing of Ultra-Thin Semiconductor Device Wafers, in Proc. 6th International Conference and Exhibition on Device Packaging (IMAPS), Scottsdale, AZ, Mar. 9-11, J. Li, H. Hwang, E-C. Ahn, Q. Chen, P. Kim, T. Lee, M. Chung, T. Chung, Laser Dicing and Subsequent Die Strength Enhancement Technologies for Ultra-thin Wafer, in Proc. IEEE Electronic Components and Technology Conference (ECTC), Reno, NV, May 29 June 1, 2007, pp A. Hooper, D. Finn, S. Noel, G. Anderson, J. O Brien, and C-C. Lin, Laser technology for TSV and microvia drilling in silicon for 3D packaging applications, in Proc. 7th International Conference and Exhibition on Device Packaging (IMAPS), Scottsdale, AZ, Mar , N. Matsubara, R. Windemuth, H. Mitsuru, and H. Atsushi, Plasma dicing technology, in Proc. Electronic System- Integration Technology Conference (ESTC), Sept , 2012, pp S. Schoenfelder, M. Ebert, C. Landesberger, K. Bock, and J. Bagdahn, Investigations of the influence of dicing techniques on the strength properties of thin silicon, Microelectronics Reliability, vol.47, no. 2 3, pp , Feb. Mar

Die Prep Considerations for IC Device Applications CORWIL Technology 1635 McCarthy Blvd Milpitas, CA 95035

Die Prep Considerations for IC Device Applications CORWIL Technology 1635 McCarthy Blvd Milpitas, CA 95035 Die Prep Considerations for IC Device Applications CORWIL Technology 1635 McCarthy Blvd Milpitas, CA 95035 Jonny Corrao Die Prep While quality, functional parts are the end goal for all semiconductor companies,

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

Thinning of IC chips

Thinning of IC chips 1 Thinning of IC chips Annette Teng CORWIL TECHNOLOGY CORP. 1635 McCarthy Blvd. Milpitas, CA 95135 2 CONTENT Industry Demand for thinness Method to achieve ultrathin dies Mechanical testing of ultrathin

More information

Laser Application DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L. Ablation Process. Stealth Dicing.

Laser Application DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L. Ablation Process. Stealth Dicing. Laser Application Ablation Process Stealth Dicing Laser Lift Off DAL7020 DFL7020 DFL7161 DFL7160 DFL7341 DFL7360FH DFL7361 DFL7560L ABLATION PROCESS DISCO s laser application lineup supports miniaturized

More information

Fraunhofer IZM Workshop November 25, 2002 Thin Semiconductor Devices

Fraunhofer IZM Workshop November 25, 2002 Thin Semiconductor Devices Fraunhofer IZM Workshop November 25, 2002 Thin Semiconductor Devices Effect of Wafer-Thinning Processes On Ultra-Thin Wafer and Die Strength Tony Schraub Ph.D. A Cooperative Program between Three US Companies

More information

Diverse Lasers Support Key Microelectronic Packaging Tasks

Diverse Lasers Support Key Microelectronic Packaging Tasks Diverse Lasers Support Key Microelectronic Packaging Tasks Written by D Muller, R Patzel, G Oulundsen, H Halou, E Rea 23 July 2018 To support more sophisticated and compact tablets, phones, watches and

More information

Dicing Through Hard and Brittle Materials in the Micro Electronic Industry By Gideon Levinson, Dicing Tools Product Manager

Dicing Through Hard and Brittle Materials in the Micro Electronic Industry By Gideon Levinson, Dicing Tools Product Manager Dicing Through Hard and Brittle Materials in the Micro Electronic Industry By Gideon Levinson, Dicing Tools Product Manager A high percentage of micro electronics dicing applications require dicing completely

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION Jorma Salmi and Jaakko Salonen VTT Information Technology Microelectronics P.O. Box 1208 FIN-02044 VTT, Finland (visiting: Micronova, Tietotie

More information

Rear Side Processing of Soda-Lime Glass Using DPSS Nanosecond Laser

Rear Side Processing of Soda-Lime Glass Using DPSS Nanosecond Laser Lasers in Manufacturing Conference 215 Rear Side Processing of Soda-Lime Glass Using DPSS Nanosecond Laser Juozas Dudutis*, Paulius Gečys, Gediminas Račiukaitis Center for Physical Sciences and Technology,

More information

ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES

ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES Janet E. Semmens Sonoscan, Inc. Elk Grove Village, IL, USA Jsemmens@sonoscan.com ABSTRACT Earlier studies concerning evaluation of stacked die packages

More information

Dicing of Thin Silicon Wafers with Ultra-Short Pulsed Lasers in the Range from 200 fs up to 10 ps

Dicing of Thin Silicon Wafers with Ultra-Short Pulsed Lasers in the Range from 200 fs up to 10 ps Technical Communication JLMN-Journal of Laser Micro/Nanoengineering Vol. 10, No. 2, 2015 Dicing of Thin Silicon Wafers with Ultra-Short Pulsed Lasers in the Range from 200 fs up to 10 ps C. Fornaroli 1,

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

The Smallest Form Factor GPS for Mobile Devices

The Smallest Form Factor GPS for Mobile Devices 2017 IEEE 67th Electronic Components and Technology Conference The Smallest Form Factor GPS for Mobile Devices Eb Andideh 1, Chuck Carpenter 2, Jason Steighner 2, Mike Yore 2, James Tung 1, Lynda Koerber

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication 2017 IEEE 67th Electronic Components and Technology Conference Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication Kazutaka Honda, Naoya Suzuki, Toshihisa Nonaka, Hirokazu

More information

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. C M P C h a r a c t e r I z a t I o n S o l u t I o n s 200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. 2920 Scott Blvd., Santa Clara, CA 95054 Tel: 408-919-0094,

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Selective Soldering for Interconnection Technology Used in Enterprise Communication Apparatuses

Selective Soldering for Interconnection Technology Used in Enterprise Communication Apparatuses Selective Soldering for Interconnection Technology Used in Enterprise Communication Apparatuses Mark Woolley, Wesley Brown, and Dr. Jae Choi Avaya Inc. 1300 W 120 th Avenue Westminster, CO 80234 Abstract:

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

AN5046 Application note

AN5046 Application note Application note Printed circuit board assembly recommendations for STMicroelectronics PowerFLAT packages Introduction The PowerFLAT package (5x6) was created to allow a larger die to fit in a standard

More information

Micromachining of Glass by Laser Induced Deep Etching (LIDE) LPKF Vitrion 5000

Micromachining of Glass by Laser Induced Deep Etching (LIDE) LPKF Vitrion 5000 Micromachining of Glass by Laser Induced Deep Etching (LIDE) LPKF Vitrion 5000 In microsystems technology, glass is very suitable as a substrate material for a variety of applications. The basis for the

More information

An Introduction of Strip Chopping Cut Method to Establish a Robust Strip Based Dicing Process on Tape Dicing Concept

An Introduction of Strip Chopping Cut Method to Establish a Robust Strip Based Dicing Process on Tape Dicing Concept An Introduction of Strip Chopping Cut Method to Establish a Robust Strip Based Dicing Process on Tape Dicing Concept Ibn Asyura Zainuddin (Author) Discrete Unit Process Development Infineon Technologies

More information

DISCO DICING SAW SOP. April 2014 INTRODUCTION

DISCO DICING SAW SOP. April 2014 INTRODUCTION DISCO DICING SAW SOP April 2014 INTRODUCTION The DISCO Dicing saw is an essential piece of equipment that allows cleanroom users to divide up their processed wafers into individual chips. The dicing saw

More information

Laser MicroJet Technology. Cool Laser Machining.

Laser MicroJet Technology. Cool Laser Machining. Laser MicroJet Technology Cool Laser Machining www.synova.ch Synova S.A., headquartered in Duillier, Switzerland, manufactures leading-edge laser cutting systems since 1997 that incorporate the proprietary

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

LED Cost and Technology Trends: How to enable massive adoption in general lighting

LED Cost and Technology Trends: How to enable massive adoption in general lighting LED Cost and Technology Trends: How to enable massive adoption in general lighting SEMICON West 2011 Moscone Center, San Francisco June 13 th 2011 Lumileds Lumileds OSRAM Aixtron CREE OSRAM OKI OSRAM 45

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper Hiding In Plain Sight How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects A Sonix White Paper If You Can See It, You Can Solve It: Understanding Ultrasonic Inspection of Bonded

More information

Flange Design & Maintenance

Flange Design & Maintenance Flange Design & Maintenance Back Flange S.S. Bushing S.S. Front Flange Al. + Hard Anodize Nut - S.S. Good Damaged Dicing Seminar Blade Gang Assembly s Dual blade Spacer Blade Wobbling Flange deflection

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

INVESTIGATION OF IMPROVED LABEL CUTTING BY CO 2 LASERS WITH WAVELENGTH OPTIMIZATION Paper #2004

INVESTIGATION OF IMPROVED LABEL CUTTING BY CO 2 LASERS WITH WAVELENGTH OPTIMIZATION Paper #2004 INVESTIGATION OF IMPROVED LABEL CUTTING BY CO 2 LASERS WITH WAVELENGTH OPTIMIZATION Paper #2004 Justin Conroy 1, 1 Applications Lab, Synrad Inc. Mukilteo, WA, 98275, USA Abstract The digital printing revolution

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

Midaz Micro-Slab DPSS Lasers:

Midaz Micro-Slab DPSS Lasers: Midaz Micro-Slab DPSS Lasers: Higher power & pulse rate for higher speed micromachining Professor Mike Damzen Midaz Laser Ltd 4 June 2008 AILU Meeting Industrial opportunities in laser micro and nano processing

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER

Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER MEMS ARTICLE Microelectronics Packaging AS FEATURES GET SMALLER, THE ROLE FOR LASERS GETS LARGER DIRK MÜLLER, MICROELECTRONICS AND SOLAR MARKET SEGMENT MANAGER, RALPH DELMDAHL, PRODUCT MARKETING MANAGER,

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

ESCC2006 European Supply Chain Convention

ESCC2006 European Supply Chain Convention ESCC2006 European Supply Chain Convention PCB Paper 20 Laser Technology for cutting FPC s and PCB s Mark Hüske, Innovation Manager, LPKF Laser & Electronics AG, Germany Laser Technology for cutting FPCs

More information

Basic function of head = reading information on the hard disc. Magnetic head mounted to a SS suspension arm. Hard Disc Air gap (

Basic function of head = reading information on the hard disc. Magnetic head mounted to a SS suspension arm. Hard Disc Air gap ( Basic function of head = reading information on the hard disc Magnetic head mounted to a SS suspension arm Hard Disc Air gap (0.001-0.002 mm) Head mounted to a SS suspension arm Physical Properties of

More information

Effects of spherical aberrations on micro welding of glass using ultra short laser pulses

Effects of spherical aberrations on micro welding of glass using ultra short laser pulses Available online at www.sciencedirect.com Physics Procedia 39 (2012 ) 563 568 LANE 2012 Effects of spherical aberrations on micro welding of glass using ultra short laser pulses Kristian Cvecek a,b,, Isamu

More information

SOLDER PASTE STENCIL MANUFACTURING METHODS AND THEIR IMPACT ON PRECISION AND ACCURACY

SOLDER PASTE STENCIL MANUFACTURING METHODS AND THEIR IMPACT ON PRECISION AND ACCURACY SOLDER PASTE STENCIL MANUFACTURING METHODS AND THEIR IMPACT ON PRECISION AND ACCURACY Ahne Oosterhof Oosterhof Consulting Hillsboro, OR, USA ahne@oosterhof.com Stephan Schmidt LPKF Laser & Electronics

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

Advances in CO 2 -Laser Drilling of Glass Substrates

Advances in CO 2 -Laser Drilling of Glass Substrates Available online at www.sciencedirect.com Physics Procedia 39 (2012 ) 548 555 LANE 2012 Advances in CO 2 -Laser Drilling of Glass Substrates Lars Brusberg,a, Marco Queisser b, Clemens Gentsch b, Henning

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Advanced Embedded Packaging for Power Devices

Advanced Embedded Packaging for Power Devices 2017 IEEE 67th Electronic Components and Technology Conference Advanced Embedded Packaging for Power Devices Naoki Hayashi, Miki Nakashima, Hiroshi Demachi, Shingo Nakamura, Tomoshige Chikai, Yukari Imaizumi,

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Semiconductor Back-Grinding

Semiconductor Back-Grinding Semiconductor Back-Grinding The silicon wafer on which the active elements are created is a thin circular disc, typically 150mm or 200mm in diameter. During diffusion and similar processes, the wafer may

More information

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Cheng-Hsuan Lin a, Yi-Chung Lo b, Wensyang Hsu *a a Department of Mechanical Engineering, National Chiao-Tung University,

More information

A Technique for Improving the Yields of Fine Feature Prints

A Technique for Improving the Yields of Fine Feature Prints A Technique for Improving the Yields of Fine Feature Prints Dr. Gerald Pham-Van-Diep and Frank Andres Cookson Electronics Equipment 16 Forge Park Franklin, MA 02038 Abstract A technique that enhances the

More information

Application Note 5026

Application Note 5026 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Eutectic Surface Mount Assembly Application Note 5026 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

DESIGN AND PROCESS DEVELOPMENT FOR THE ASSEMBLY OF PASSIVE COMPONENTS

DESIGN AND PROCESS DEVELOPMENT FOR THE ASSEMBLY OF PASSIVE COMPONENTS DESIGN AND PROCESS DEVELOPMENT FOR THE ASSEMBLY OF 01005 PASSIVE COMPONENTS J. Li 1, S. Poranki 1, R. Gallardo 2, M. Abtew 2, R. Kinyanjui 2, Ph.D., and K. Srihari 1, Ph.D. 1 Watson Institute for Systems

More information

SOLDER PASTE STENCIL MANUFACTURING METHODS AND THEIR IMPACT ON PRECISION AND ACCURACY

SOLDER PASTE STENCIL MANUFACTURING METHODS AND THEIR IMPACT ON PRECISION AND ACCURACY SOLDER PASTE STENCIL MANUFACTURING METHODS AND THEIR IMPACT ON PRECISION AND ACCURACY Ahne Oosterhof Oosterhof Consulting Hillsboro, OR, USA ahne@oosterhof.com Stephan Schmidt LPKF Laser & Electronics

More information

Advances in stacked-die packaging

Advances in stacked-die packaging pg.10-15-carson-art 16/6/03 4:12 pm Page 1 The stacking of die within IC packages, primarily Chip Scale Packages (CSP) Ball Grid Arrays (BGAs) has evolved rapidly over the last few years. The now standard

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

MICROMACHINED WAVEGUIDE COMPONENTS FOR SUBMILLIMETER-WAVE APPLICATIONS

MICROMACHINED WAVEGUIDE COMPONENTS FOR SUBMILLIMETER-WAVE APPLICATIONS MICROMACHINED WAVEGUIDE COMPONENTS FOR SUBMILLIMETER-WAVE APPLICATIONS K. Hui, W.L. Bishop, J.L. Hesler, D.S. Kurtz and T.W. Crowe Department of Electrical Engineering University of Virginia 351 McCormick

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

High Power UV Laser Machining of Silicon Wafers

High Power UV Laser Machining of Silicon Wafers High Power UV Laser Machining of Silicon Wafers Tom CORBOLINE, Edward C. REA, Jr., and Corey DUNSKY COHERENT, INC. 51 Patrick Henry Dr., Santa Clara, CA 955, USA E-mail: tom.corboline@coherentinc.com As

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Print Performance Studies Comparing Electroform and Laser-Cut Stencils

Print Performance Studies Comparing Electroform and Laser-Cut Stencils Print Performance Studies Comparing Electroform and Laser-Cut Stencils Rachel Miller Short William E. Coleman Ph.D. Photo Stencil Colorado Springs, CO Joseph Perault Parmi Marlborough, MA ABSTRACT There

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

FLIP CHIP LED SOLDER ASSEMBLY

FLIP CHIP LED SOLDER ASSEMBLY As originally published in the SMTA Proceedings FLIP CHIP LED SOLDER ASSEMBLY Gyan Dutt, Srinath Himanshu, Nicholas Herrick, Amit Patel and Ranjit Pandher, Ph.D. Alpha Assembly Solutions South Plainfield,

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Laser Experts in Semiconductor Manufacturing

Laser Experts in Semiconductor Manufacturing Laser Experts in Semiconductor Manufacturing Backed by more than three decades of experience in laser material processing, ROFIN is one of the best established companies in this field. The company has

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

MICRO-SWISS Dicing Blades for 4 -Spindles. minitron. electronik gmbh

MICRO-SWISS Dicing Blades for 4 -Spindles. minitron. electronik gmbh e MICRO-SWISS Dicing Blades for 4 -Spindles minitron electronik gmbh Industry Background Towards the year 2000 we face a new, complex set of demands as the microelectronics industry grows more sophisticated.

More information

Dicing Die Attach Films for High Volume Stacked Die Application

Dicing Die Attach Films for High Volume Stacked Die Application Dicing Die Attach Films for High Volume Stacked Die Application Annette Teng Cheung, Ph.D. CORWIL Technology Corp. 1635 McCarthy Blvd. Milpitas, CA 95035 Tel: 1-408-321-6404 E-mail: Annette@CORWIL.com

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine

Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine by Yaojian Lin, Kang Chen, Kian Meng Heng, Linda Chua and *Seung Wook Yoon STATS ChipPAC Ltd. 5

More information

QUALITY SEMICONDUCTOR, INC.

QUALITY SEMICONDUCTOR, INC. Q QUALITY SEMICONDUCTOR, INC. AN-20 Board Assembly Techniques for 0.4mm Pin Pitch Surface Mount Packages Application Note AN-20 The need for higher performance systems continues to push both silicon and

More information

SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE. ESCC Basic Specification No

SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE. ESCC Basic Specification No Page 1 of 24 SCANNING ELECTRON MICROSCOPE (SEM) INSPECTION OF SEMICONDUCTOR DICE ESCC Basic Specification Issue 2 February 2014 Document Custodian: European Space Agency see https://escies.org PAGE 2 LEGAL

More information

Material Effects of Laser Energy When Processing Circuit Board Substrates during Depaneling

Material Effects of Laser Energy When Processing Circuit Board Substrates during Depaneling Material Effects of Laser Energy When Processing Circuit Board Substrates during Depaneling Ahne Oosterhof Eastwood Consulting Hillsboro, OR ABSTRACT Using modern laser systems for the depanelization of

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Advanced Machining Processes Prof. Vijay. K. Jain Department of Mechanical Engineering Indian Institute of Technology Kanpur Lecture No 19

Advanced Machining Processes Prof. Vijay. K. Jain Department of Mechanical Engineering Indian Institute of Technology Kanpur Lecture No 19 Advanced Machining Processes Prof. Vijay. K. Jain Department of Mechanical Engineering Indian Institute of Technology Kanpur Lecture No 19 (Refer Slide Time: 0:22) Welcome to the course on advanced machining

More information

Brief Introduction of Sigurd IC package Assembly

Brief Introduction of Sigurd IC package Assembly Brief Introduction of Sigurd IC package Assembly Content Package Development Trend Product Brief Sawing type QFN Representative MEMS Product LGA Light Sensor Proximity Sensor High Yield Capability Low

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION

ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION ADVANCES IN USING A POLYMERIC TAPE FOR LASER-INDUCED DEPOSITION AND ABLATION Arne Koops, tesa AG, Hamburg, Germany Sven Reiter, tesa AG, Hamburg, Germany 1. Abstract Laser systems for industrial materials

More information

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, 10-15 June 2018. Citation for the original

More information

Practical Applications of Laser Technology for Semiconductor Electronics

Practical Applications of Laser Technology for Semiconductor Electronics Practical Applications of Laser Technology for Semiconductor Electronics MOPA Single Pass Nanosecond Laser Applications for Semiconductor / Solar / MEMS & General Manufacturing Mark Brodsky US Application

More information

The Problems. Spheretek Wafer Bumping The Low Cost and Reliable Solution to Production Wafer Packaging

The Problems. Spheretek Wafer Bumping The Low Cost and Reliable Solution to Production Wafer Packaging Spheretek Wafer Bumping The Low Cost and Reliable Solution to Production Wafer Packaging The Problems. Packaging Production engineers and their CFO s have to date been disappointed in the results of their

More information

MIL-STD-883H METHOD ULTRASONIC INSPECTION OF DIE ATTACH

MIL-STD-883H METHOD ULTRASONIC INSPECTION OF DIE ATTACH * ULTRASONIC INSPECTION OF DIE ATTACH 1. PURPOSE. The purpose of this examination is to nondestructively detect unbonded regions, delaminations and/or voids in the die attach material and at interfaces

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

CHAPTER 11: Testing, Assembly, and Packaging

CHAPTER 11: Testing, Assembly, and Packaging Chapter 11 1 CHAPTER 11: Testing, Assembly, and Packaging The previous chapters focus on the fabrication of devices in silicon or the frontend technology. Hundreds of chips can be built on a single wafer,

More information

PROJECT. DOCUMENT IDENTIFICATION D2.2 - Report on low cost filter deposition process DISSEMINATION STATUS PUBLIC DUE DATE 30/09/2011 ISSUE 2 PAGES 16

PROJECT. DOCUMENT IDENTIFICATION D2.2 - Report on low cost filter deposition process DISSEMINATION STATUS PUBLIC DUE DATE 30/09/2011 ISSUE 2 PAGES 16 GRANT AGREEMENT NO. ACRONYM TITLE CALL FUNDING SCHEME 248898 PROJECT 2WIDE_SENSE WIDE spectral band & WIDE dynamics multifunctional imaging SENSor ENABLING SAFER CAR TRANSPORTATION FP7-ICT-2009.6.1 STREP

More information