Since 1972 Powering the Future

Size: px
Start display at page:

Download "Since 1972 Powering the Future"

Transcription

1 Since 1972 Powering the Future Semi Networking Day Milano 20 Settembre 2012 Semi Networking Day Milano 20 Settembre C o r p o r a t e P r o f i l e

2 Vision & Mission C o r p o r a t e P r o f i l e LPE Vision : to help develop Energy Efficient Solutions Mission : To be the market & technology leader in epitaxial technologies for Smart Power Management and Sensor Solutions Semi Networking Day Milano 20 Settembre

3 Our strengths LPE is a worldwide specialist in epitaxial technologies for Power Management, Power Saving and Sensor applications C o r p o r a t e P r o f i l e Our clear mission is to provide our Customers with leading edge equipment and process solutions for advanced and traditional discrete devices, new generation sensors, improving Customers' process performance, lowering environmental impact and lowering manufacturing costs Semi Networking Day Milano 20 Settembre

4 Our strengths We provide epitaxy reactors for silicon and silicon carbide built around LPE proprietary reaction chambers and core technology IP C o r p o r a t e P r o f i l e Our reactors are particularly suited for thick and very thick epi layers on substrates and patterned wafers, maintaining quality standards typical of thin epi layers Our Customers are both devices makers and epi houses, operating worldwide Semi Networking Day Milano 20 Settembre

5 Historical facts C o r p o r a t e P r o f i l e Preti Engineering ( now LPE ) designs and manufactures the first silicon Epitaxial Reactor in Europe. Almost 40 years later LPE reactors are still the preferred tools s to grow EPI layers for discrete and power applications. Over 400 reaction chambers are in operation in more than 30 leading semiconductor companies. LPE has become a global leader by offering the most advanced equipment for Epitaxial Deposition. Semi Networking Day Milano 20 Settembre

6 Worldwide organization Headquarters Headquarters Milan, Milan, Italy Italy C o r p o r a t e P r o f i l e SUBSIDIARIES ETC Italy LPE Shanghai AGENTS Japan USA Taiwan South Korea Czech Republic Semi Networking Day Milano 20 Settembre

7 Revenue Euro USD avg ME 13.8 M$ C o r p o r a t e P r o f i l e ME 22.2 M$ ME 24.8 M$ ME 22.7 M$ ME 19.2 M$ ME 7.6 M$ ME 27.0 M$ ME 60.0 M$ ME budget Semi Networking Day Milano 20 Settembre

8 Silicon Equipment Product Line Silicon Epitaxy PE2061 S O u r P r o d u c t s PE 3061 D Installed Base: >140 Located in all world regions since 1992 Installed Base: > 130 Located in all world regions since 2000 Semi Networking Day Milano 20 Settembre

9 SiC Equipment Product Line (since 2008) SiC Epitaxy ACiS SiC Bulk (R&D) Subli100 (PVT) O u r P r o d u c t s Installed Base: 3 in Japan 3 in Europe InventaPro (CVD) Semi Networking Day Milano 20 Settembre

10 C u s t o m e r s Silicon and Silicon Carbide Customers Semi Networking Day Milano 20 Settembre

11 Europe Semi Networking Day Milano 20 Settembre

12 North America Undisclosed Customer Semi Networking Day Milano 20 Settembre

13 Korea & Japan Undisclosed Customer Semi Networking Day Milano 20 Settembre

14 China & Taiwan Yanhe Factory Fushun Semi Networking Day Milano 20 Settembre

15 .and Singapore Semi Networking Day Milano 20 Settembre

16 LPE Group innovation Semi Networking Day Milano 20 Settembre L P E G r o u p I n n o v a t i o n

17 LPE Group strategy L P E G r o u p I n n o v a t i o n Extend the application of LPE know-how to the following domains : Power Management / Power Saving - Silicon epitaxy - SiC homo & hetero epitaxy - Other WBG materials Semi Networking Day Milano 20 Settembre

18 LPE Group strategy LPE Mission : Equipment for epitaxy (Si and SiC) Design Manufacturing Sales ETC Mission : Epitaxial growth processes Epitaxial wafers supply Semi Networking Day Milano 20 Settembre L P E G r o u p I n n o v a t i o n

19 Since 1972 Powering the Future ETC Epitaxial Technology Center Semi Networking Day Milano 20 Settembre C o r p o r a t e P r o f i l e

20 ETC Key Facts Epitaxy experts SILICON and SiC Significant effort and investment made to develop SiC epi and know-how on substrate growth technology ETC SiCiLab R&D lab with CNRsupport & staff inside ETC ENIAC European projects: 150 mm SiC epi 300mm Silicon Semi Networking Day Milano 20 Settembre

21 ETC Epitaxy Service ETC ETC offers a complete range of SiC Epitaxy specifications on wafers from 2 to 200mm diameter 4H SiC blanket homoepitaxial layer, with a wide range of thicknesses and doping concentrations for both n and p-type doping A unique multistep high-growth-rate (HGR) epitaxial process for complex p-n junctions structures A patented Virtual Substrate process for high thickness (>100µm) and low doping (<5x10 13 at/cm 3 ) for sensors applications 3C SiC heteroepitaxy on silicon on any diameter up to 200mm and most silicon orientations for MEMS application Semi Networking Day Milano 20 Settembre

22 ETC Epitaxy Service ETC offers silicon epitaxial process development IGBT multiple structures Custom processes Very high thickness >150 µm ETC Very high resistivity >1000 Ωcm High doping substrates: Red phosphorus, Arsenic Silane + TCS processes High temperature processes >1200 C Semi Networking Day Milano 20 Settembre

23 ETC Epitaxy Service Internal characterization capability Layer thickness mapping: FTIR QS2200 Carrier concentration mapping and in-depth profiling: ETC CV Mercury probe SSM 495 Nikon automatic inspection for defects mapping (bright field, dark field, DIC, confocal) Semi Networking Day Milano 20 Settembre

24 ETC Epitaxy Service ETC In the SiCilab ( X-Ray diffraction Polar figures Rocking curve maps Stress measurements Optical characterization µ-raman map µ-photoluminescence map time-resolved photoluminescence Electrical characterization I-V and C-V by device test patterns DLTS Stress characterization by test structures (3C-SiC) Semi Networking Day Milano 20 Settembre

25 ETC Epitaxy Service SiC MEMS test structures V1 V2 ETC microstructures: Spirals Planar rotating sensors compressiv e Stress Tensile Stress Beams (Cantilevers) Bicycle Wheels Semi Networking Day Milano 20 Settembre

26 ETC SiC ETC Semi Networking Day Milano 20 Settembre

27 ETC Silicon ETC Semi Networking Day Milano 20 Settembre

28 Grazie bedankt tak raibh maith agat tänan kiitos спасибо tack thank you danke gracias 有難うございました terima kasih díky teşekkürler mulţumiri grazas 谢谢감사 köszönöm ευχαριστίες gràcies faleminderit спасибі nhờ хвала תודה obrigado merci salamat благодаря მადლობა shukrani hvala благодарам dzięki paldies ačiū ขอบค ณ را धयव द дзякуй takk ر grazzi vďaka dankie hvala Semi Networking Day Milano 20 Settembre

AVAILABILITY OF DIGITAL RADIO HISTORY PRESENT - FUTURE

AVAILABILITY OF DIGITAL RADIO HISTORY PRESENT - FUTURE JUNE 21st AVAILABILITY OF DIGITAL RADIO HISTORY PRESENT - FUTURE Thomas Glassenhart Customer Services Manager ABOUT JATO WHO WE ARE AND WHAT WE DO The leading global supplier of automotive intelligence

More information

Development Cooperation with Developing Countries using Intellectual Property

Development Cooperation with Developing Countries using Intellectual Property Development Cooperation with Developing Countries using Intellectual Property -The Experience of Korea - KIM Il-Gyu Director, Multilateral Affairs Division Korean Intellectual Property Office CONTENTS

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

The HORIBA LA-960. Simple, Powerful, Reliable Particle Size Measurement. Ian Treviranus

The HORIBA LA-960. Simple, Powerful, Reliable Particle Size Measurement. Ian Treviranus The HORIBA LA-960 Simple, Powerful, Reliable Particle Size Measurement Ian Treviranus ian.treviranus@horiba.com www.horiba.com/particle LA-960 Laser Particle Size Analyzer a What we ll talk about Ease

More information

Roles of IP Offices for Dissemination and Utilization of PI (Patent Information)

Roles of IP Offices for Dissemination and Utilization of PI (Patent Information) Regional Workshop on Dissemination and Effective Utilization of Patent Information Roles of IP Offices for Dissemination and Utilization of PI (Patent Information) October 16, 2018 Japan Patent Office

More information

Laser MicroJet Technology. Cool Laser Machining.

Laser MicroJet Technology. Cool Laser Machining. Laser MicroJet Technology Cool Laser Machining www.synova.ch Synova S.A., headquartered in Duillier, Switzerland, manufactures leading-edge laser cutting systems since 1997 that incorporate the proprietary

More information

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik Reinhold Ebner, Anton Köck, Stefan Defregger Materials Center Leoben Forschung GmbH Roseggerstrasse 12 A-8700 Leoben www.mcl.at

More information

A new approach to Model-Based Testing in Simulink

A new approach to Model-Based Testing in Simulink Presented by: Sean Wyatt 1 Public ETAS/COM-Ana 2013-10-19 ETAS GmbH 2013. All rights reserved, also regarding any disposal, exploitation, reproduction, Content 1 Need and Challenges for Function Developers

More information

Visible Light Photon R&D in the US. A. Bross KEK ISS Meeting January 25, 2006

Visible Light Photon R&D in the US. A. Bross KEK ISS Meeting January 25, 2006 Visible Light Photon R&D in the US A. Bross KEK ISS Meeting January 25, 2006 Some History First VLPC History In 1987, a paper was published by Rockwell detailing the performance of Solid State PhotoMultipliers

More information

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Figure 1. The Zeta-20 uses the Grasshopper3 and produces true color 3D optical images with multi mode optics technology 3D optical profiling

More information

GaN: Applications: Optoelectronics

GaN: Applications: Optoelectronics GaN: Applications: Optoelectronics GaN: Applications: Optoelectronics - The GaN LED industry is >10 billion $ today. - Other optoelectronic applications of GaN include blue lasers and UV emitters and detectors.

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

2018 Mini/ Micro LED

2018 Mini/ Micro LED 18 Mini/ Micro LED About Lextar Lextar Electronics Corp. is a global leader in LED solutions with a strategic advantage of integrating upper stream epitaxial, middle stream chip, downstream package and

More information

Requirements for G.RoF. Philippe Chanclou Orange Labs Networks FSAN, Operator only Date: December, 2015 Location: Kuala Lumpur, Malaysia

Requirements for G.RoF. Philippe Chanclou Orange Labs Networks FSAN, Operator only Date: December, 2015 Location: Kuala Lumpur, Malaysia Requirements for G.RoF Philippe Chanclou Orange Labs Networks FSAN, Operator only Date: December, 2015 Location: Kuala Lumpur, Malaysia 2 Answer to the CFC item Outline For existing fronthaul interface

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

Company Profile. Cool Laser Machining.

Company Profile. Cool Laser Machining. Company Profile Cool Laser Machining www.synova.ch Innovative Laser Machining Systems Synova is the pioneer of a revolutionary water jet guided laser technology providing state-of-the-art cutting and

More information

Power Semiconductor Devices - Silicon vs. New Materials. Si Power Devices The Dominant Solution Today

Power Semiconductor Devices - Silicon vs. New Materials. Si Power Devices The Dominant Solution Today Power Semiconductor Devices - Silicon vs. New Materials Jim Plummer Stanford University IEEE Compel Conference July 10, 2017 Market Opportunities for Power Devices Materials Advantages of SiC and GaN vs.

More information

Proposal of Novel Collector Structure for Thin-wafer IGBTs

Proposal of Novel Collector Structure for Thin-wafer IGBTs 12 Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles Research Report Proposal of Novel Collector Structure for Thin-wafer IGBTs Takahide Sugiyama, Hiroyuki Ueda, Masayasu

More information

Wafer Loaders for IC Inspection Microscopes NWL200 Series. Wafer Loaders for IC Inspection Microscopes

Wafer Loaders for IC Inspection Microscopes NWL200 Series. Wafer Loaders for IC Inspection Microscopes Wafer Loaders for IC Inspection Microscopes NWL200 Wafer Loaders for IC Inspection Microscopes Wafer Loaders for IC Inspection Microscopes I Nikon s original technology ensures safe, reliable loading of

More information

Designation: F (Reapproved 2000)

Designation: F (Reapproved 2000) Designation: F 95 89 (Reapproved 2000) Stard Test Method for Thickness of Lightly Doped Silicon Epitaxial Layers on Heavily Doped Silicon Substrates Using an Infrared Dispersive Spectrophotometer 1 This

More information

MEASUREMENT APPLICATION GUIDE OUTER/INNER

MEASUREMENT APPLICATION GUIDE OUTER/INNER MEASUREMENT APPLICATION GUIDE OUTER/INNER DIAMETER Measurement I N D E X y Selection Guide P.2 y Measurement Principle P.3 y P.4 y X and Y Axes Synchronous Outer Diameter Measurement P.5 y of a Large Diameter

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

More Imaging Luc De Mey - CEO - CMOSIS SA

More Imaging Luc De Mey - CEO - CMOSIS SA More Imaging Luc De Mey - CEO - CMOSIS SA Annual Review / June 28, 2011 More Imaging CMOSIS: Vision & Mission CMOSIS s Business Concept On-Going R&D: More Imaging CMOSIS s Vision Image capture is a key

More information

Wide Band-Gap Power Device

Wide Band-Gap Power Device Wide Band-Gap Power Device 1 Contents Revisit silicon power MOSFETs Silicon limitation Silicon solution Wide Band-Gap material Characteristic of SiC Power Device Characteristic of GaN Power Device 2 1

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION SEMI-AUTOMATED MASK ALIGNER SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION SEMI-AUTOMATED MASK ALIGNER SUSS MA/BA Gen4 Series SMART FULL-FIELD EXPOSURE TOOL

More information

Wire bond inspection. Systems for the reliable inspection of wire bond connections in electronics manufacturing 3D SPI 3D AXI 3D MXI 3D AOI

Wire bond inspection. Systems for the reliable inspection of wire bond connections in electronics manufacturing 3D SPI 3D AXI 3D MXI 3D AOI Wire bond inspection 3D SPI 3D AOI 3D AXI 3D MXI Systems for the reliable inspection of wire bond connections in electronics manufacturing Bent wires Missing wire on wedge Bond connections reliably inspected

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper Hiding In Plain Sight How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects A Sonix White Paper If You Can See It, You Can Solve It: Understanding Ultrasonic Inspection of Bonded

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Going green for discrete power diode manufacturers Author(s) Tan, Cher Ming; Sun, Lina; Wang, Chase Citation

More information

Department of Physics & Astronomy

Department of Physics & Astronomy Department of Physics & Astronomy Experimental Particle Physics Group Kelvin Building, University of Glasgow, Glasgow, G12 8QQ, Scotland Telephone: +44 (0)141 339 8855 Fax: +44 (0)141 330 5881 GLAS-PPE/2005-14

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

LOST IN TRANSLATION: PUBLICATION CHALLENGES IN ASIA-PAC

LOST IN TRANSLATION: PUBLICATION CHALLENGES IN ASIA-PAC Welcome to the FOURTH (free!) ISMPP Asia-Pacific Webinar LOST IN TRANSLATION: PUBLICATION CHALLENGES IN ASIA-PAC Thursday, 21 August 2014 Chair: Julie Newman, ELS, ISMPP CMPP TM Moderator: Dr Rebecca Lew,

More information

Company Profile.

Company Profile. Company Profile www.synova.ch Innovative Micro-Machining Systems Synova is the pioneer of a revolutionary water jet guided laser technology providing state-of-theart cutting and dicing solutions as well

More information

QUALITY & RELIABILITY

QUALITY & RELIABILITY QUALITY & RELIABILITY 4 Gbps & 2.5 Gpbs Oxide Isolated VCSEL Reliability Report SUMMARY AOC has developed a second generation oxide isolated VCSEL for use in 4Gbps and 2.5Gbps applications. This product

More information

Silicon Carbide power devices: Status, challenges and future opportunities

Silicon Carbide power devices: Status, challenges and future opportunities Silicon Carbide power devices: Status, challenges and future opportunities S. Reggiani, E. Gnani, A. Gnudi, G. Baccarani ARCES MODELING AND SIMULATION GROUP IUNET DAY September 21, 2017 Advanced Research

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions ELECTRONICS 4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions Yu SAITOH*, Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Takashi TSUNO and Yasuki MIKAMURA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors 11th International MOS-AK Workshop (co-located with the IEDM and CMC Meetings) Silicon Valley, December 5, 2018 Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors *, A. Kumar,

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode

The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode Yeganeh Bonyadi, Peter Gammon, Roozbeh Bonyadi, Olayiwola Alatise, Ji Hu, Steven Hindmarsh,

More information

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Optical Characterization and Defect Inspection for 3D Stacked IC Technology Minapad 2014, May 21 22th, Grenoble; France Optical Characterization and Defect Inspection for 3D Stacked IC Technology J.Ph.Piel, G.Fresquet, S.Perrot, Y.Randle, D.Lebellego, S.Petitgrand, G.Ribette FOGALE

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

Silicon Sensor Developments for the CMS Tracker Upgrade

Silicon Sensor Developments for the CMS Tracker Upgrade Silicon Sensor Developments for the CMS Tracker Upgrade on behalf of the CMS tracker collaboration University of Hamburg, Germany E-mail: Joachim.Erfle@desy.de CMS started a campaign to identify the future

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

Nicolet Almega XR. High Performance Micro and Macro Dispersive Raman Analysis Systems

Nicolet Almega XR. High Performance Micro and Macro Dispersive Raman Analysis Systems m o l e c u l a r s p e c t r o s c o p y Nicolet Almega XR High Performance Micro and Macro Dispersive Raman Analysis Systems Materials Research Identification/Verification Characterization Failure Analysis

More information

Development of Innovation Strategy and Patent Systems. Paik Saber Assistant General Counsel, IP Law IBM Asia Pacific

Development of Innovation Strategy and Patent Systems. Paik Saber Assistant General Counsel, IP Law IBM Asia Pacific Development of Innovation Strategy and Patent Systems Paik Saber Assistant General Counsel, IP Law IBM Asia Pacific June 11, 2009 The world as it was: Industrial Age (1970 s) GDP (1970): 1.04 trillion

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

Electrical Characterization

Electrical Characterization Listing and specification of characterization equipment at ISC Konstanz 30.05.2016 Electrical Characterization µw-pcd (Semilab) PV2000 (Semilab) - spatially resolved minority charge carrier lifetime -diffusion

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

High Resolution Backside Imaging and Thermography using a Numerical Aperture Increasing Lens

High Resolution Backside Imaging and Thermography using a Numerical Aperture Increasing Lens High Resolution Backside Imaging and Thermography using a Numerical Aperture Increasing Lens Shawn A. Thorne, Steven B. Ippolito, Mesut G. Eraslan, Bennett B. Goldberg, and M. Selim Ünlü, Boston University,

More information

Switching Between C-V and I-V Measurements Using the 4200A-CVIV Multi-Switch and 4200A-SCS Parameter Analyzer APPLICATION NOTE

Switching Between C-V and I-V Measurements Using the 4200A-CVIV Multi-Switch and 4200A-SCS Parameter Analyzer APPLICATION NOTE Switching Between CV and IV Measurements Using the 4200ACVIV MultiSwitch and 4200ASCS Parameter Analyzer Introduction Full parametric characterization of a semiconductor device usually requires an array

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

LESJÖFORS FLAT SPRINGS. The Lesjöfors Group also includes.

LESJÖFORS FLAT SPRINGS. The Lesjöfors Group also includes. LESJÖFORS FLAT SPRINGS The Lesjöfors Group also includes 1 2 LESJÖFORS As an industry leader in the design and manufacture of custom engineered mechanical springs and spring assemblies, Lesjöfors has the

More information

PoS(EPS-HEP 2009)150. Silicon Detectors for the slhc - an Overview of Recent RD50 Results. Giulio Pellegrini 1. On behalf of CERN RD50 collaboration

PoS(EPS-HEP 2009)150. Silicon Detectors for the slhc - an Overview of Recent RD50 Results. Giulio Pellegrini 1. On behalf of CERN RD50 collaboration Silicon Detectors for the slhc - an Overview of Recent RD50 Results 1 Centro Nacional de Microelectronica CNM- IMB-CSIC, Barcelona Spain E-mail: giulio.pellegrini@imb-cnm.csic.es On behalf of CERN RD50

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

Industrialization of Micro-Electro-Mechanical Systems. Werner Weber Infineon Technologies

Industrialization of Micro-Electro-Mechanical Systems. Werner Weber Infineon Technologies Industrialization of Micro-Electro-Mechanical Systems Werner Weber Infineon Technologies Semiconductor-based MEMS market MEMS Market 2004 (total 22.7 BUS$) Others mostly Digital Light Projection IR Sensors

More information

New advances in silicon photonics Delphine Marris-Morini

New advances in silicon photonics Delphine Marris-Morini New advances in silicon photonics Delphine Marris-Morini P. Brindel Alcatel-Lucent Bell Lab, Nozay, France New Advances in silicon photonics D. Marris-Morini, L. Virot*, D. Perez-Galacho, X. Le Roux, D.

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Power Semiconductors technologies trends for E-Mobility

Power Semiconductors technologies trends for E-Mobility 1 Power Semiconductors technologies trends for E-Mobility Gianni Vitale Power Conversion & Drives Section Manager System Lab, STMicroelectronics NESEM 2013, Toulouse All trademarks and logos are property

More information

With a little bit of effort, manufacturers could make better LS counters, even suitable for radionuclide metrology!

With a little bit of effort, manufacturers could make better LS counters, even suitable for radionuclide metrology! With a little bit of effort, manufacturers could make better LS counters, even suitable for radionuclide metrology! Background During an international specialists meeting on LS techniques in radionuclide

More information

KU-FEL Facility. Status Report. Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University

KU-FEL Facility. Status Report. Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University KU-FEL Facility Status Report Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University KU-FEL(Kyoto University FEL) A mid-infrared free electron laser (MIR-FEL) facility KU-FEL

More information

Rigaku Innovative Technologies Europe (RITE) Presented by: Dr.Peter Oberta

Rigaku Innovative Technologies Europe (RITE) Presented by: Dr.Peter Oberta Rigaku Innovative Technologies Europe (RITE) Presented by: Dr.Peter Oberta 1 Introducing Rigaku Since its inception in Japan in 1951, Rigaku has been at the forefront of analytical and industrial instrumentation

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-28-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD Meredith

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

Fabrication and Characterization of Vertical Gallium Nitride Power Schottky Diodes on Bulk GaN Substrates FY2016

Fabrication and Characterization of Vertical Gallium Nitride Power Schottky Diodes on Bulk GaN Substrates FY2016 ARL-TR-7913 DEC 2016 US Army Research Laboratory Fabrication and Characterization of Vertical Gallium Nitride Power Schottky Diodes on Bulk GaN Substrates FY2016 by Bryan H Zhao, Michael A Derenge, Milena

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Power Bipolar Junction Transistors (BJTs)

Power Bipolar Junction Transistors (BJTs) ECE442 Power Semiconductor Devices and Integrated Circuits Power Bipolar Junction Transistors (BJTs) Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Power Bipolar Junction Transistor (BJT) Background The

More information

A LEADER IN OPTICAL TECHNOLOGY. GRADIUM Lenses.

A LEADER IN OPTICAL TECHNOLOGY. GRADIUM Lenses. A LEADER IN OPTICAL TECHNOLOGY GRADIUM Lenses ABOUT LIGHTPATH TECHNOLOGIES LightPath Technologies makes quality and customer satisfaction a top priority. We value your business, and it is our goal to provide

More information

Lecture Course. SS Module PY4P03. Dr. P. Stamenov

Lecture Course. SS Module PY4P03. Dr. P. Stamenov Semiconductor Devices - 2013 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 01 st of Feb 13 Diode Current Components

More information

Video formats for VR. A new opportunity to increase the content value But what is missing today? MPEG workshop on Immersive media Jan.

Video formats for VR. A new opportunity to increase the content value But what is missing today? MPEG workshop on Immersive media Jan. Video formats for VR A new opportunity to increase the content value But what is missing today? MPEG workshop on Immersive media Jan. 18 th 2017 Consumption models have dramatically changed Content offer

More information

LED technology: MOCVD for SSL. Challenges & Solutions

LED technology: MOCVD for SSL. Challenges & Solutions SEMICON Europe 2009 Emerging Markets LED technology: MOCVD for SSL Challenges & Solutions Dr. Frank Schulte AIXTRON AG Outline The LED market and its requirements Productivity and cost challenges Solutions

More information

Numerical study on very high speed silicon PiN diode possibility for power ICs in comparison with SiC-SBD

Numerical study on very high speed silicon PiN diode possibility for power ICs in comparison with SiC-SBD Numerical study on very high speed silicon PiN diode possibility for power ICs in comparison with SiC-SBD Kenichi Takahama and Ichiro Omura Kyushu Institute of Technology Senshui-cho 1-1, Tobata-ku, Kitakyushu

More information

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs Application Note Recently, various devices using MEMS technology such as pressure sensors, accelerometers,

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication David López-Romero Moraleda. Technical Support Manager, Crestec Corporation Spain Branch. Financiación-Internacionalización-Cooperación.

More information

Figure Responsivity (A/W) Figure E E-09.

Figure Responsivity (A/W) Figure E E-09. OSI Optoelectronics, is a leading manufacturer of fiber optic components for communication systems. The products offer range for Silicon, GaAs and InGaAs to full turnkey solutions. Photodiodes are semiconductor

More information

Review of Power IC Technologies

Review of Power IC Technologies Review of Power IC Technologies Ettore Napoli Dept. Electronic and Telecommunication Engineering University of Napoli, Italy Introduction The integration of Power and control circuitry is desirable for

More information

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G APPLICATION NOTE M01 attocfm I for Surface Quality Inspection Confocal microscopes work by scanning a tiny light spot on a sample and by measuring the scattered light in the illuminated volume. First,

More information

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai

Rick Clemmer Media briefing in China. Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Rick Clemmer Media briefing in China Rick Clemmer, President & CEO NXP Semiconductors March 19, 2012 Shanghai Today s agenda NXP update Share our vision Zoom in China 2 NXP Semiconductors NXP Semiconductors

More information

Product Information. Allegro Hall-Effect Sensor ICs. By Shaun Milano Allegro MicroSystems, LLC. Hall Effect Principles. Lorentz Force F = q v B V = 0

Product Information. Allegro Hall-Effect Sensor ICs. By Shaun Milano Allegro MicroSystems, LLC. Hall Effect Principles. Lorentz Force F = q v B V = 0 Product Information Allegro Hall-Effect Sensor ICs y Shaun Milano Allegro MicroSystems, LLC is a world leader in developing, manufacturing, and marketing high-performance Halleffect sensor integrated circuits.

More information

Ricoh Industrial Ink Jet Technology

Ricoh Industrial Ink Jet Technology Ricoh Industrial Ink Jet Technology Ink Jet Business Division EMEA IMI Barcelona, November 2014 Presentation Outline HISTORY & ORGANIZATION SOLUTIONS TECHNOLOGY Who is Ricoh? Founded in 1936 108,000+ Employees

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

SHENMAO Technology Inc. Your Ultimate Choice for Solder

SHENMAO Technology Inc. Your Ultimate Choice for Solder Your Ultimate Choice for Solder Company Profile TSE Code: 3305 Founded: Oct. 1973 Capital: US $40 million (2015) Revenue: US $157 million (2015) President: Mr. S. L. Lee General Manager: Mr. H. W. Lee

More information

Solutions for Lithium Ion Battery Manufacturing

Solutions for Lithium Ion Battery Manufacturing Solutions for Lithium Ion Battery Manufacturing NDC Advantage Series NDC ADVANTAGE - LITHIUM ION BATTERY Measurement and Control Solutions Achieve a new level of quality and performance with NDC Technologies

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information