Design Methodologies. Design Trade-offs. System Design to Hardware. Design Gap. Speed (throughput and clock frequency) Area and

Size: px
Start display at page:

Download "Design Methodologies. Design Trade-offs. System Design to Hardware. Design Gap. Speed (throughput and clock frequency) Area and"

Transcription

1 Design Trade-offs Design Methodologies Viktor Öwall Dept. of Electrical and Infomation Technology Lund University Parts of this material was adapted from the instructor material to Jan M. Rabaey, Digital Integrated Circuits: A Design Perspective, Prentice Hall International Editions Speed (throughput and clock frequency) Power Consumption Area and Design time (time to market) Price Do not design for maximum performance, design for required performance! Design Gap System Design to Hardware Lo ogic Trans sistors pe er Chip (K K) 10,000, ,000, ,000 10,000 1, Potential ti Design Complexity and Designer Productivity it Complexity growth rate 58%/year Design Gap Productivity growth rate 21%/year (Source: sematech97) Compilation Standard Proc. Threshold Arrhythmia Class. Other sensors Hand Coding Combine Architectural Design System Design MATLAB SystemC CatapultC ASIC Synthesis

2 System Design to Hardware Threshold Arrhythmia Class. Other sensors Combine System Design MATLAB SystemC CatapultC Standard Proc. Design GAP! ASIC Early Design Methodology? Evolution Next, i.e. now: Design Reuse, IP Reuse, Platform based design etc Design Analysis, Specification and Verification Accounts for Largest fraction of Design time (or at least should) More effective on higher levels of abstraction Most design failures due to error in spec. Design Methodology Three abstractions: Behavioral, structural and geometrical

3 Design Methodology, contnd. Moving betwen the domains Amount of Automatization increase Standard Design Flow of Today Standard Processors vs. Special Purpose Software or Hardware? Standard Processor Programmable Low Design cost Standard Interface Good supply of tools Algorithm Processor Cores Domain Specific Processors etc. Special Purpose Flexible Architecture High Calculation Capacity Low Power User defined Interface Variable Wordlength Low Price at Volume Flexibility Performance Requirements Power Consumption Throughputh Cost Volume Know how Time to Market

4 Energy efficiency (MIPS/mW) Energy Efficiencyi 100? High Flexibility Pentium StrongARM TI-DSP Dedicated 4 orders of magnitude Low Flexibility Acknowledgement: Viktor Öwall, Bob Dept. Brodersen of Electrical and Information Technology, Lund University, Sweden- ies ea Efficienci rgy and Are Ene Energy/Area efficiency i Energy Efficiency (MOPS/mW) Microprocessors Area Efficiency (MOPS/mm2) General Purpose DSP s Dedicated d Designs Chip Number (see Table II) Courtesy: Professor Bob Brodersen, UC Berkeley Results in fully parallel solutions Reducing supply voltage saves energy: E = CV 2 Energy Area 64-point FFT Energy per Transform (nj) 16-State Viterbi Decoder Energy per Decoded bit (nj) 64-point FFT Transforms per second per unit area (Trans/ms/mm 2 ) 16-State Viterbi Decoder Decode rate per unit area (kb/s/mm 2 ) Direct-Mapped Hardware , ,000 FPGA Low-Power DSP High-Performance DSP (numbers taken from vendor-published benchmarks) 5000 Orders of magnitude lower efficiency even for an optimized processor architecture Courtesy Ning Zhang, Berkeley Wireless Research Center (BWRC) To reach efficient solutions algorithm/hardware codesign is crucial!

5 Scope This is an Advertisement DSP Design 6credits Period 2, Fall How to get from a signal processing algorithm to an EFFICIENT implementation using Different numbering systems Pipelining Parallelism li Strength reduction, i.e. complexity of operations. etc, etc,... in a structured way! Case studies: FFT, image filtering, acoustic echo cancellation, pacemakers,... From Algorithm to Implementation Many paths! How do we get there? x(n) h0 FIR-filter D D D Time-multiplexed architecture MUX h1 h2 h3 c From Algorithm to Implementation Many paths! How do we get there? x(n) h0 FIR-filter D D D Time-multiplexed architecture MUX h1 h2 h3 c in Signal Processing book y(n) REG in Signal Processing book y(n) REG processor x(2k+1) x(2k) D x(2k-1) D x(2k-2) x(2k+1) x(2k) D x(2k-1) D x(2k-2) b 0 b 1 b 2 b 0 b 1 b 2 y(2k) y(2k) b 0 b 1 b 2 y(2k+1) Parallel architecture b 0 b 1 b 2 ASIC y(2k+1) Parallel architecture FPGA

6 Architectural Design Allocation - determine architectural resources Assignment - binding operations to hardware Scheduling - determine execution order plus transformations pipelining, software pipelining, loop unrolling, etc... and parallelism, hierarchy, etc... Problems in DSP Design (contd) Supplying the MIPS is not the biggest problem but how to get the correct data, to the correct processing element, at the right time at Low Power Implementation Techniques Special Purpose Block RAM BAN NK 7 Virtex BANK 0 BANK 1 BAN NK 2 IOB FPGA ASIC CLB Field Programmable Gate Arrays Reconfigurable Fast Turn Around Prototyping Gate Array Application/Algorithm Specific Integrated Circuit High Calculation Capacity High Utilization Low Power Low Price at Volume Timing BANK 6 BANK 5 BANK 4 BANK 3 Routing

7 Example: Xilinx FPGAs CLB CLB Switching matrix Basic Spartan Architecture Low End FPGA Horizontal Routing Channel CLB CLB Interconnect point Configurable Logic Block Combinational logic Storage elements R Vertical Routing Channel A B/Q 1/Q 2 C/Q 1/Q 2 D A B/Q 1/Q 2 C/Q 1/Q 2 Any function of up to 4 variables Any function of up to 4 variables D in F G F G F R D Q 1 CE R D Q 2 F D G CE G E Clock CE Xilinx Virtex-II Pro Heterogeneous Programmable Platforms FPGA Fabric Embedded System IP blocks High-speed Serial Transceivers 622 Mbps to 10 Gbps PowerPC Processor 400+ MHz clock rate Embedded PowerPc Embedded memories MGT MGT LVDS Technology Hardwired multipliers MGT MGT VCCIO High-speed I/O Courtesy Xilinx 18 Bit 18 Bit 36 Bit Embedded Mult-Acc Z Z Impedance Z Control Logic Cells High Performance RAM * Pricing for 100,000 units in 2004 Courtesy: Ivo Bolsens, CTO Xilinx

8 The Cost/Volume Crossover Relative e Cost 10 ASIC Cost FPGA Cost ,000 10, ,000 1,000K Unit Volume Courtesy: Ivo Bolsens, CTO Xilinx

9 Gate Arrays the old way Fabricating with an array of n- and p-transistors and using design-specific specific metalization in routing channels. Sea-of-Gate Fabricating with an array of n- and p-transistors and using design-specific ifi metalization ti on top of primitive cells. Turn of gates to achieve Oxide-isolation Gate-isolation Before metalization After metalization Gate Array Random Logic The return of gate arrays? Structured ASICs. Structured ASICs are based on a predefined logic fabric in essence, an array of prebuilt logic cells and an arrangement of configurable memory blocks. This array can be fabricated up through the first few metal layers, as if it were a standard product, almost as a cross between an FPGA and a gate array. Then the base wafers can be warehoused, waiting for an order. Ron Wilson of EE Times, Via programmable gate array (VPGA) Via-programmable cross-point Memory section Gate Array LSI Logic (LEA300K) metal-5 metal-6 programmable via Exploits regularity of interconnect [Pileggi02]

10 Design Strategies for ASIC/DSP ASIC Synthesis Full Custom Behavioral or Structural Synthesis Fast Design Process Simplified re-design Semi Custom Design for Performance Flexible Highest Calculation Cap. Lowest Power Smallest area Highest Design Cost Cell based design Macrocell (PLAs, memories, etc ) Standard Cell Datapath compilation Compiled Cell Macros: Memories, mults,... Standard Cell Design RAM Part of Mult Provided Cell library (including macros?) Layout is generated cells of equal height placed in rows automatic placement strategies routing strategies depends on interconnect layers

11 Example of Standard Cell Layout Row ws of Cells V dd & GND Logic cell Functional Module (RAM, ROM, Mult,...) Routing Channel Feedthrough Depends on number of metal layers Datapath Compilation Approach Provided Cell library (including macros?) Bit-sliced approach hierarchical design structure is kept to minimize interconnect abutment or routing in rows routing strategies depends on interconnect layers and placement Datapath th Compilation Approach, contnd. Cell based design, Standard d Cell Die MUX REG1 REG2 MUX REG1 Routing or Abuttment REG2 ADD 1k FFT (S. He) REG3 REG3 Image convolution processor (V. Öwall) Few metal layers, bit-sliced datapaths structure clearly seen More metal layers, synthesis Cell-structure hidden under interconnect layers Part of Complex Mult (A. Berkeman)

12 Abutment vs. Routing Abutment Routing Floorplanning No Interconnect t Routing between cells Exact fit between cells Area loss Denser design Smaller cell library Large cell library Floorplan: Defines overall topology of design, relative placement of modules, and global routes of busses, supplies, and clocks Macrocell Interconnect Bus Routing Channel Example Memories Abutment Magic (Berkeley) Layout Editors Polygon Pushing TILT (LTH) Bit sliced Data Paths

13 What is dominant today? VHDL Based Design A state machine into VHDL library IEEE;use IEEE.STD_LOGIC_1164.all; entity state_machine is generic (m : integer := 2) -- Used to process bus width port (clk : in STD_LOGIC; reset : in STD_LOGIC; input : in STD_LOGIC_VECTOR(m-1 downto 0); output : out STD_LOGIC_VECTOR(m-1 downto 0); end state_machine; architecture implementation of state_machine is type state_type is (st0, st1,st2, st3); -- defines the states; signal state, next_state : state_type; signal output, next_output STD_LOGIC_VECTOR (m-1 downto 0); begin sequential : process (clk) begin if clk event and clk = 1 then if reset = 1 then state <= st0; output t <= 00 ; else state <= next_state; output <= next_output; end if; end if; end process; end architecture; -- registered outputs combinatorial : process (input,output,state,next_state) -- Combinatorial part begin next_state <= state; next_output <= output; case (state) is -- Current state and input dependent when st0 => if (input = 1 ) 1) then next_state <= st1; next_output <= 01 end if; when st1 => if (input = 0 ) then next_state <= st2; next_output t t <= 11 end if; when st2 => if (input = 1 ) then next_state <= st3; next_output <= 10 en if; when st3 => if (input = 1 ) then next_state <= st0; next_output <= 00 end if; when others => next_state <= next_state; -- Default next_output <= 00 ; end case; end process; Hugo Viktor Hedberg, Öwall, Matthias Dept. of Kamuf, Electrical Dept. and of Electroscience, Information Technology, Lund University, Lund {hhg,mkf}@es.lth.se University, Sweden- Then synthesized to ASIC or FPGA Design Flow: a simplified view HDL (VHDL/Verilog/...) Simulation Cell library Synthesis P&R Configuration Post-layout sim. Fabrication

14 Compiled Cell approach No predefined Cell library but cells are compiled according to requirements Stick Diagram to Layout Diemensionles Layout is generated Schematic Compiled layout How to get from Algorithm to Silicon? Complete Specification/Simulation Quantization wordlenghts simple coefficients Architecture (Implementation technique?) Partitioning Dataflow Hardware mapped or microcoded Memory requirements Cell library, clocking,... Netlist Layout What can/should be automated? Design Analysis and Simulation Circuit simulation (Spice, ) Switch-Level simulation (IRSIM, ) Gate-level/Functional simulation (Structural t VHDL) More in Advanced Digital IC Desing.

15 Circuit it Simulation (Spice) V DD Switch-level Simulation (IRSIM) V V dd V M IN OUT t 1 t 2 t Non-linear elements Continuous waveform Solving Differential Equations Timing i Verification - static timing analysis - Critical Path The Design Closure Problem A simulation is the result of the applied signal pattern and does not guarantee the critical path. A timing verifier traverses the network and ranks paths which is a very complex task. Iterative Removal of Timing Violations (white lines) Courtesy Synopsys

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

DSP Design Lecture 1. Introduction and DSP Basics. Fredrik Edman, PhD

DSP Design Lecture 1. Introduction and DSP Basics. Fredrik Edman, PhD DSP Design Lecture 1 Introduction and DSP Basics Fredrik Edman, PhD fredrik.edman@eit.lth.se Lecturers Fredrik Edman (course responsible) Mail: fredrik.edman@eit.lth.se Room E:2538 Mojtaba Mahdavi (exercises

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Average Cost of one transistor Acknowledgement: 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Acknowledgement: Materials in this lecture are courtesy of the following sources and are used with permission. Curt Schurgers J. Rabaey, A. Chandrakasan,

More information

Introduction and DSP Basics. Viktor Öwall

Introduction and DSP Basics. Viktor Öwall DSP Design Introduction and DSP Basics Viktor Öwall viktor.owall@eit.lth.se it lth Where do we find it? The first pacemaker 1958 Karolinska Institutet, Stockholm What is a Digital Signal Processor? Works

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

ECE260B CSE241A Winter Design Styles Multi-Vdd/ Vth Designs. Website: / vlsicad.ucsd.edu/ courses/ ece260bw05

ECE260B CSE241A Winter Design Styles Multi-Vdd/ Vth Designs. Website:   / vlsicad.ucsd.edu/ courses/ ece260bw05 ECE260B CSE241A Winter 2005 Design Styles Multi-Vdd/ Vth Designs Website: / courses/ ece260bw05 ECE 260B CSE 241A Design Styles 1 The Design Problem Source: sematech97 A growing gap between design complexity

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters

FIR_NTAP_MUX. N-Channel Multiplexed FIR Filter Rev Key Design Features. Block Diagram. Applications. Pin-out Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL Core N-channel FIR filter core implemented as a systolic array for speed and scalability Support for one or more independent

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

Design and FPGA Implementation of High-speed Parallel FIR Filters

Design and FPGA Implementation of High-speed Parallel FIR Filters 3rd International Conference on Mechatronics, Robotics and Automation (ICMRA 215) Design and FPGA Implementation of High-speed Parallel FIR Filters Baolin HOU 1, a *, Yuancheng YAO 1,b and Mingwei QIN

More information

Multi-Channel FIR Filters

Multi-Channel FIR Filters Chapter 7 Multi-Channel FIR Filters This chapter illustrates the use of the advanced Virtex -4 DSP features when implementing a widely used DSP function known as multi-channel FIR filtering. Multi-channel

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Journal of Computer Science 7 (12): 1894-1899, 2011 ISSN 1549-3636 2011 Science Publications Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Muhammad

More information

FIR Filter Design on Chip Using VHDL

FIR Filter Design on Chip Using VHDL FIR Filter Design on Chip Using VHDL Mrs.Vidya H. Deshmukh, Dr.Abhilasha Mishra, Prof.Dr.Mrs.A.S.Bhalchandra MIT College of Engineering, Aurangabad ABSTRACT This paper describes the design and implementation

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Department of Electrical and Computer

More information

FPGA Implementation of Adaptive Noise Canceller

FPGA Implementation of Adaptive Noise Canceller Khalil: FPGA Implementation of Adaptive Noise Canceller FPGA Implementation of Adaptive Noise Canceller Rafid Ahmed Khalil Department of Mechatronics Engineering Aws Hazim saber Department of Electrical

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

A Survey on Power Reduction Techniques in FIR Filter

A Survey on Power Reduction Techniques in FIR Filter A Survey on Power Reduction Techniques in FIR Filter 1 Pooja Madhumatke, 2 Shubhangi Borkar, 3 Dinesh Katole 1, 2 Department of Computer Science & Engineering, RTMNU, Nagpur Institute of Technology Nagpur,

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education Socware, Pacwoman & Flexible Radio Peter Nilsson Program Manager Socware Research & Education Associate Professor Digital ASIC Group Department of Electroscience Lund University Socware: System-on-Chip

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION Muzakkir Mas ud Adamu Depertment of Computer Engineering, Hussaini Adamu Federal Polytechnic Kazaure, Jigawa State Nigeria.

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Embedded System Hardware - Reconfigurable Hardware -

Embedded System Hardware - Reconfigurable Hardware - 2 Embedded System Hardware - Reconfigurable Hardware - Peter Marwedel Informatik 2 TU Dortmund Germany GOPs/J Courtesy: Philips Hugo De Man, IMEC, 27 Energy Efficiency of FPGAs 2, 28-2- Reconfigurable

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems

Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems George J. Starr, Jie Qin, Bradley F. Dutton, Charles E. Stroud, F. Foster Dai and Victor P. Nelson

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Power-conscious High Level Synthesis Using Loop Folding

Power-conscious High Level Synthesis Using Loop Folding Power-conscious High Level Synthesis Using Loop Folding Daehong Kim Kiyoung Choi School of Electrical Engineering Seoul National University, Seoul, Korea, 151-742 E-mail: daehong@poppy.snu.ac.kr Abstract

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

ASIC Implementation of High Throughput PID Controller

ASIC Implementation of High Throughput PID Controller ASIC Implementation of High Throughput PID Controller 1 Chavan Suyog, 2 Sameer Nandagave, 3 P.Arunkumar 1,2 M.Tech Scholar, 3 Assistant Professor School of Electronics Engineering VLSI Division, VIT University,

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information