Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging

Size: px
Start display at page:

Download "Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging"

Transcription

1 2017 IEEE 67th Electronic Components and Technology Conference Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging Yew Wing Leong, Hsiang Yao Hsiao, Soon Wee Ho, Boon Long Lau and Huamao Lin Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research), hsiaohy@ime.a-star.edu.sg Abstract In this study dry film photoresist material is employed to fabricate thicker Cu pillars and solder interconnects. The development of the dry film photoresist process for forming 200 μm thick copper pillar were introduced. Several experiments were conducted to find the optimized process parameters for the dry film photoresist. A Laminator was adopted to laminate the dry film. The lamination temperature was 110 C on the top roll and 65 C on the bottom roll are controlled. And the pressure was 20 psi. Lamination speed was about 2 FPM. For pattern with 200 m thickness and 150 m pitch Cu pillar, optimized exposure dose and developing time were 400 mj/cm 2 and 20 minutes respectively. Cu plating was performed on developed wafers and dry film photoresist was stripped using spray developer tool. Results show good performance for 200 μm copper pillar with flat surface and no dry film photoresist residues. Keywords-component; dry film; Cu pillar; laminate; developing; stripping I. INTRODUCTION The electrical interconnect technique of the advanced packaging process has been evolving. Generally, in order to produce increased functionality and performance in the same volume, the advanced packaging processes used multiple chips to integrate the systems into a single package. The rapid growth in mobile handheld devices such as smartphones has driven the development of these advanced packaging trends [1]. A lot of development and production are reached, inclusive of wafer level packaging, Cu pillar on through silicon via interposer, fan out wafer level packaged, and many more. The fine pitch copper pillar process is subjected to be replaced by the controlled collapse chip connection bump in the new system package designs. The wafer level packaging fabrication used the spun process of photo resist to plating the copper pillar [2]. However, when the thicknesses of photo resist had been increased to 100 m, there are many process and manufacturing challenges; the thickness of photo resist coating and the uniformity of photo resist, throughput and costs of materials. And emerging as an attractive alternative is the use of dry film resist materials. Dry film photoresist materials were used not only on fabricating the PCB but also on WLP fabrication process in recent years [3-5]. For WLP fabrication process, dry film resist materials were used to make photo-patterning, combined with printing the solder paste, electroplating solder joint and fabricating the RDL. After printing or plating process, dry film resist materials were able to strip out using wet bench process. Several years, many benefits of dry film photo resist material were bulletined and involved in wafer level packaged fabrication process engineering comparing with liquid type photo resist material. Moreover, the famous properties were the laminated multilayer on single substrate due to its planarization effect, some exclusive advantages such as low exposure energy, wide band UV light make the fabrication process easy and fast have been pointed out. Besides dry film photoresist materials were applied as temporary patterning masks, they are highly expected to be functionally better so as to be able to remain within packaging after wafer level process. Here the study of the lithography process for copper pillars, with focus on heights in excess of 200 m and diameters of 150 m, with the anticipation of the process requirements for the future fan out wafer level packaging application had been carried out. The features of the dry film photoresist are studied including the process development procedures. Some major concerns during process are pointed out. Sets of experiments are done to find solutions of those concerns mentioned above. Optimized process parameters are proposed. II. CHARACTERISTICS OF THE DRY FILM Dry film photo resist is one type of thick film. Although its resolution and aspect ratio are not very high compared with the other types of thick film, it is still attractive in the applications which do not require high resolution and high aspect ratio. Printed Circuit Board industry origins from Silk Screen Printing technology. This is the reason why it is called PCB (Printed Circuit Board). With the driving force of high density, high accuracy and low cost, printing method had reached its limitation. In 1968, DU-Pont Inc. developed dry film photo resist. Since then, dry film technology replaced most of the traditional image transfer technology. In early stage of the dry film development, photo resist is solvent type, it needs solvents for development and caused many inconvenience. As time goes on, it gradually became aqueous type and improved resolution. After that, packaging industry joined to use the dry film for research and process applications. Up to the present, dry film is still the best choice for PCB industry. New types of dry film are being fabricated toward higher aspect ratio for various applications. Dry film photo resist has numerous advantages. It is possible to laminate dry film simultaneously on several substrates with various contour shapes. It is also possible to laminate multilayer on single substrate due to its planarization effect. Low exposure energy and wide band UV light make the process easy and /17 $ IEEE DOI /ECTC

2 fast. In addition, simple development, vertical sidewalls are all the advantages for the dry film. Sodium carbonate solution and sodium hydroxide solution are the only solutions needed in the development step and in the striping step, respectively. That is, there is no need for organic solvents which are harmful to human health. No significant hazards have been reported about dry film [6]. Most important of all, its cost is very low. However, the resolution is the main disadvantage. Resolution is in proportion to the film thickness. The aspect ratio is limited by its low resolution, hence the dry film can only achieve about 1 to 1.5. This is not as good as other types of thick film photoresist such as SU8 which has been reported to be as high as 20. Dry film photoresist looks quite different than the common liquid photo resist. From the appearance, it looks like a sandwich. The top layer is a separation sheet, composed of Polyethylene film (PET), the thickness is about 25 m. The bottom layer is a support or protective film, composed of polyester (PE), the thickness is also about 25 m. The middle layer is a photosensitive layer. Its thickness depends on the application and ranges from few micron to one hundred fifty micron meters. Generally speaking, the components of photosensitive layer are monomers, photo initiators, polymer binder, and some additional additives such as adhesion promoters and dyes. Monomers are the main components of a dry film. A monomer is initially solvable in developer and becomes unsolvable after UV light exposure and heat treatment. Photo initiators generate free radical under the UV light exposure. Polymer binders are used as the backbone of the dry film. As implied by its name, polymer binders bind all of the components together to form a dry film. A polymer binder does not participate in the chemical reaction during polymerization process but it does enhance the surface adhesion and plating-resist ability. Usually, dyes are being added to increase the process convenience for the sake of easy inspection. III. PROCESS TECHNIQUES FOR DRY FILM PHOTORESIST This research selected a dry film photo-resist material apply to the thicker Cu pillar fabrication process. The standard procedures for this application are listed as follow. Preprocess laminating exposure developing plating stripping The photoresist dry film materials are produced in rolls or sheets where the film is coated in between two protective layers. The dry film material is rolled directly onto the Si wafer by lamination method using the laminator. The result after lamination is a uniform thick coat which requires no lengthy wafer bakes or ancillary solvent processing. Depending on the dry film material, the temperature, pressure and rolling speeds will control the throughput and coating quality. This discussion is related to a dry film suited to the electroplating application being developed. Once the lithography process is completed, the process flow that is being discussed is identical through electroplate, dry film photo-resist strip and field metal etching. Figure 1. Double laminated dry film photoresist Process IV. EXPERIMENT RESULTS & DISCUSSION A. Laminated dry film Photoresist Materials Laminating is the most important step in dry film process. It has great influence on the quality of the patterns and the further processes. A laminating machine is adopted to paste a dry film on a Si substrate. Temperature, pressure and speed of a laminating machine are set according to the type of the dry film and the environment condition. Thereby, pressure is 20 psig, roll speed is 2 FPM and temperature on the top roll is 110 C and 65 C on the bottom roll are controlled. The Negative-tone dry film photoresist is used in this study. The thickness of dry film is 112 μm. Double laminated the dry film photoresist on 300 mm wafer with Ti and Cu seed layer to increase the thickness of film to 220 μm for achieving thick film thickness. Figure 2 shows the profile result of two layer dry film photoresist with EBR. A uniform thickness profile at the wafer edge can be observed. Figure 2. Double laminated dry film photoresist Process B. Exposure and Developing Process Exposure and developing is a system. Both variable influence each other and will affect the final results for the shape and quality of the plated Cu pillar. When the dry film photoresist is exposed under UV light at broad band 879

3 wavelength, photo initiators absorb UV energy and generate free radical. The monomers, initially spread uniformly in the dry film, start to polymerize under the stimulation of these free radical. After that, polymers cross link to each other and become unsolvable in developer solution. When an exposed dry film is ready for development, it will be immersed or spread under developer, which is usually composed of 1-3% sodium carbonate solution. The sodium carbonate then reacts with hydroxyl ion on the binder polymer and produces solvable polymer. These solvable polymers will be taken away by liquid solutions and reveal a new surface for developer. These two reactions happen over and over until reach the bottom of dry film photoresist. The reaction equation is shown below. The cross sectional technique is used to check the sidewall profile after dry film developing. Due to the optical microscopy image on plan view is not enough to inspect the features. Figure 4 shows the cross sectional SEM images of 350 and 400 mj/cm 2 dose. The results is dry film photoresist profiles were non-optimized developing parameters. It observed the under developing feature that is on the bottom vias has dry film photoresist residues. The other nonoptimized developing is over-developing or under crosslinking which will result in notching and footing defects on the bottom vias. However, vertical photoresist sidewall is achieved. Thick scum residue appeared at the bottom of the vias despite using long immersion bath developing time (1.5 hr). The exposure dose and focus offsets of the stepper were optimized for 150 μm diameters in the dry film. Board band UV exposure was used for the dry film photoresist. Exposure dose is ranging from mj/cm 2 with 50 mj/cm 2 increments. For dry film photoresist developing, it is very difficult step for thicker Cu pillar fabrication used dry film. The developing chemical is not easy go into thicker vias. Otherwise, how to remove the dry film residues in thicker via after developing reaction is also a big issue. We used some methods to do developing the thicker dry film. Try to solve above problem. We start with immersion bath for dry film photoresist developing with 1%wt. Na 2CO 3 developer. After immersion bath developing, DI water are used to rinse and N 2 to dry the wafer. The developing time is critical and depends on the pattern size. The first step used the unexposed dry film immersed 1%wt. Na 2CO 3 developer to check the break point. The break point is about 45 mins. Therefore, the developing time is about two times of the break point. Figure 3 shows the optical microscopy pictures with various exposure doses after immersion bath developing. It is found that lower 250 mj/cm 2 dose causes insufficient cross-linking of the dry-film leading to distorted feature. It implied that the exposure energy is not enough for fully polymerization. When increase the doses to 300 mj/cm 2, it causes thicker scum and under developing at the bottom of the vias. Figure 4. The cross sectional SEM images with various exposure doses after developing (a) 350 and (b) 400 mj/cm 2. From the above developing results, the immersion bath developer is not suitable for thicker dry film developing, due to need to take a long time for developing one wafer. The spray type developer used can help to improve the developing process. Therefore, the spray developer had been employed to do the thicker dry film developing. The diagram of the spry developer shows in Figure 5. Non pattern wafer had been used to find out the breaking time used for spray developer. It is found that the breaking point is 4 min for 220 μm thickness dry film. And the break point for spray developer is only one tenth of the time required for immersion bath. Figure 3. The top view optical microscopy pictures with various exposure doses after developing. Figure 5. The diagram of the spray developer for developing the dry fim photo resist. 880

4 Figure 6 shows the developing results with fixed location of the chemical spray. The uniformity of whole wafer after developing is not good and only center of the wafer has good developing results. On the contrary, the edge of wafer is under-developing, this is due to the chemical spray fixed at the center. Figure 8. The optical microscopy pictures with optmized exposure dose and developing parameter. Figure 6. Shows the optical microscopy pictures with various exposure doses after developing. Due to the thicker dry film photoresist, the 1%wt. Na 2CO 3 developer is hard to penetrate through during the developing process. Therefore, mild agitation is necessary. However, if the shaking force is too large as shown in Figure 7 using mega-sonic assisted develop, it may cause over developing and resulting in bigger features size than desired. Only when the Si wafer substrate is rotated with a fixed chemical spray that a narrow developing range can be obtained. Figure 9. Cross-sectional SEM images using optmized dry film photoresist developing parameter: (a) wafer center and, (b) wafer edage. After dry film developing, on the bottom vias of the dry film also have some residues. Therefore, O 2 descum to remove the dry film residue on the bottom vias have been performed. High temperature of over 50 C O 2 descum has been used to clean the residue. The results show in Figure 10 (a) that the residues can be removed on the bottom vias. However, the oxidation had occurred on the Cu seed and the dry film photo resist will changed in colour. It will effect the Cu plating. Therefore, with the decrease in descum temperature of lower than 50 C, the residue can be removed and without oxidizing the Cu. The results show in Figure 10 (b). Figure 7. Shows the optical microscopy after developing with megasonic. Therefore by using a sweeping motion of chemical spray and rotated Si substrate at the same time, it is observed that a wider developing range and reduced developing time can be achieved. Figure 8 shows the developing results with the moving location of chemical spray and rotated substrate. The developing uniformity is good and the whole wafer can be fully developed. After a substrate is taken out of the developer, it should be immediately cleaned by DI water in 30 to 90 seconds in order to remove the residual developer. The last step is drying the substrate by N 2 to remove the residual water. Figure 10. Shows the optical microscopy pictures with various O 2 descum temperature (a) over 50 C and (b) lower 50 C. C. Dry film Photoresist Stripping Evaluation A developed region also called pattern can be used as the electroplating mold or etching mask. After plating or etching process is finished, the dry film is no longer needed and has to be stripped off. The stripper is usually DMSO & TMAH 881

5 based are used with the operating temperature of 70 o C. The dry film photoresist dipped into the chemical solvent which was heated to strip. The stripper will penetrate into the interface between the dry film and the substrate, make it easily to be stripped or dissolved. Finally, after DI water cleaning and drying with isopropyl alcohol, dry film is fully removed. For dry film photoresist stripping, the chemical temperature plays an important role. If the chemical temperature is high, the stripping time will be shortened. When the chemical temperature is lower than 50 C, the stripping time is over 30 mins. On the contrary, the stripping time is less halt time when the chemical temperature increases over 50 C. Therefore, the entire surface of the wafer keeps high temperature (over 50 C) during whole stripping process. After chemical and temperature treated, the large area of the dry film can be removed. However, the dry film swelling occurs between the Cu pillars which show in Figure 11 and Figure 12. The swelling residues are difficult to be removed by using chemical force only. When increased the stripping time to 30 mins, the swelling residues is difficult to be removed. stripping using chemical and mechanical force. Not only larger area but also in between Cu pillar features the dry film photoresist residues were cleaned and shows good stripping performance. Figure 13. The dry film photoresist stripping results combined with chemical and mechanical force. D. Cu Pillars Plating & PR Stripping Results 12 inch Si wafer with Ti/Cu seed layer after dry film photoresist developed is later send for Cu pillar plating process. In order to plate the Cu pillars height target of about 200 μm, the plating has been performed including chemical pre-plate- cleaning of the seed layer. Cu pillars have been plated using the optimized Cu pillar plating parameters. The whole plating duration is about 4 hours. Cu pillars plating followed by dry film photoresist stripping and seed layer etching. The results show in Figure11. About 200 μm height Cu pillars (aspect ratio = 1.33) were plated on the wafer and no dry film photoresist residues entrapped in between Cu pillars. Figure 11. The optical microscopy images with chemical force after stripping. Figure 14. The fabricated results of Cu pillars using dry film photoresist: (a) top optical image, (b) tilted image, and (C) SEM image. Figure 12. The SEM of Cu pillar without remove the swelling residues. Therefore, need to additional physical force to support to remove the swelling dry film residues. Use dual fluid nozzle to remove of dry film photoresist swelling residue. Figure 13 shows the top view of Cu pillars after dry film photoresist V. CONCLUSION Double lamination was used to achieve 220 μm thick dry film photoresist. Different exposure doses was evaluated to determine the optimum dose and various developing methods were used to develop the thicker dry film photoresist. Optical inspection and X-section were performed on the sample wafers. Based on the X-section results, the optimum dose should be in the range of 300 to 400 mj/cm 2. Cu plating was performed on developing wafer 882

6 and dry film photoresist was stripped using spray developer tool. Some of the important results are summarized below: 1. Good performance of die developing process and cleaning after developing has been successfully achieved. The residues at bottom of via were removed using the descum process. 2. The good CD uniformity and vertical resist profile after developing have been provided. 3. The dry film resists stripping process has been successfully developed. Shorter stripping time and no dry film residue near the Cu pillar were observed after stripping process. 4. Fabrication of copper pillars with 200 m in height which have the copper pillars with flat top surface and that are free of voids and defects can be achieved. ACKNOWLEDGMENT Thanks to all our colleagues who provided insight and expertise that greatly assisted the research. Also special thanks to TOHO s team for assistance with dry film photoresist developing and stripping processes for Cu pillar fabrication. REFERENCES [1] TDK components, The customer magazine October 2012, A new dimension in miniaturization [2] S. W. Ho, S. A. Sek, B. L. Lau and V. S. Rao, " Process and challenges of ultra-thick spin-on photoresist", IEEE Electronics packaging Technoha Conference, pp.1-5, (2015). [3] H. Watanabe and H. Honma, "Direct Nickel Plating Copper Circuits Using DMAB as a Second Reducing Agent", 1998 IEMTM Proceedings, pp [4] K. H. Uang, K. C. Chen, S. W Lu, H. T Hu and S. H. Huang, "The Reliability Performance of Low Cost BumPing on Alundnum and Copper Wafer", IEEE Electronics packaging Technoha Conference, pp , (2000). [5] S. K. Kang, D. Y Shih, K. Fogel, P Lauro and M. J. Yim, "Iflterfacial Reaction Stodies on Lead (Pb)-Free Solder Alloys", IEEE Electronic ComPonents and Technology COthence, pp (2001). [6] Lorenz H, Paratte L, Luthier R, de Rooij N F and Renaud Ph Low cost technology for multilayer electroplated parts using laminated dry film resist, Proc. Eurosensors IX & Transducers 95 (Stockholm, 1995) 883

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS Andrew Ahr, EKC Technology, & Chester E. Balut, DuPont Electronic Technologies Alan Huffman, RTI International Abstract Today, the electronics

More information

Characterization of a Thick Copper Pillar Bump Process

Characterization of a Thick Copper Pillar Bump Process Characterization of a Thick Copper Pillar Bump Process Warren W. Flack, Ha-Ai Nguyen Ultratech, Inc. San Jose, CA 95126 Elliott Capsuto, Craig McEwen Shin-Etsu MicroSi, Inc. Phoenix, AZ 85044 Abstract

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

PCB Fabrication Processes Brief Introduction

PCB Fabrication Processes Brief Introduction PCB Fabrication Processes Brief Introduction AGS-Electronics, Ph: +1-505-550-6501 or +1-505-565-5102, Fx: +1-505-814-5778, Em: sales@ags-electronics.com, Web: http://www.ags-electronics.com Contents PCB

More information

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool Contact Aligners (HTG, ABM, EV620) GCA 5X g-line Stepper GCA i-line Steppers (GCA 10X, AS200) Shipley 1800 Series (1805, 1813, 1818, 1827) + + X AZ nlof 2000 O X + AZ4903 + + X OiR 620-7i X X + OiR 897-12i

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns CHINESE JOURNAL OF PHYSICS VOL. 41, NO. 2 APRIL 2003 Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns Ru-Pin Pan 1, Hua-Yu Chiu 1,Yea-FengLin 1,andJ.Y.Huang

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE Chih-Yuan Chang and Yi-Min Hsieh and Xuan-Hao Hsu Department of Mold and Die Engineering, National

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer header for SPIE use On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer Nimit Chomnawang and Jeong-Bong Lee Department of Electrical and Computer

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication 2017 IEEE 67th Electronic Components and Technology Conference Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication Kazutaka Honda, Naoya Suzuki, Toshihisa Nonaka, Hirokazu

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

3M XYZ-Axis Electrically Conductive Adhesive Transfer Tape (ECATT) 9723

3M XYZ-Axis Electrically Conductive Adhesive Transfer Tape (ECATT) 9723 Technical Data November, 2009 M XYZ-Axis Electrically Conductive Adhesive Transfer Tape (ECATT) 972 Product Description M XYZ-Axis Electrically Conductive Adhesive Transfer Tape (ECATT) 972 is an isotropically

More information

Developments in Fine Line Resist Stripping

Developments in Fine Line Resist Stripping Developments in Fine Line Resist Stripping R Massey, N Wood J Huang Atotech Deutschland GmbH Atotech (China) Chemicals Erasmusstrasse 20 No 73, Xinzhuang 2-Lu, 10553 Berlin Guangzhou, 511356 Germany PRC

More information

Photo-resist Stripper

Photo-resist Stripper Photo-resist Stripper TAIWAX MAXWAVE Co., Ltd, No. 999, Bayiu 1 st Rd., Guanyin Township, Taoyuan County Taiwan Evan Chen 2016 Outline Introduction The Characteristic of Negative and Positive Photoresists

More information

Obducat NIL 6. Nanoimprinting with NRF s NIL 6

Obducat NIL 6. Nanoimprinting with NRF s NIL 6 Obducat NIL 6 Substrates: pieces to 6 inch, hard or soft Thermal cure with PMMA, MR I 7010 etc Alignment to about 3 microns Temperature to 300 HC Pressure 15 to 80 bars Resolution < 50 nm possible Up to

More information

First Demonstration of Single-mode Polymer Optical Waveguides with Circular Cores for Fiber-to-waveguide Coupling in 3D Glass Photonic Interposers

First Demonstration of Single-mode Polymer Optical Waveguides with Circular Cores for Fiber-to-waveguide Coupling in 3D Glass Photonic Interposers First Demonstration of Single-mode Polymer Optical Waveguides with Circular Cores for Fiber-to-waveguide Coupling in 3D Glass Photonic Interposers Rui Zhang^, Fuhan Liu, Venky Sundaram, and Rao Tummala

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Large Area Interposer Lithography

Large Area Interposer Lithography Large Area Interposer Lithography Warren Flack, Robert Hsieh, Gareth Kenyon, Manish Ranjan Ultratech, Inc 3050 Zanker Road, San Jose. CA. 95124 wflack@ultratech.com +1 408-577-3443 John Slabbekoorn, Andy

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

High Performance Silicon-Based Inductors for RF Integrated Passive Devices

High Performance Silicon-Based Inductors for RF Integrated Passive Devices Progress In Electromagnetics Research, Vol. 146, 181 186, 2014 High Performance Silicon-Based Inductors for RF Integrated Passive Devices Mei Han, Gaowei Xu, and Le Luo * Abstract High-Q inductors are

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

SU-8 Post Development Bake (Hard Bake) Study

SU-8 Post Development Bake (Hard Bake) Study University of Pennsylvania ScholarlyCommons Protocols and Reports Browse by Type 10-16-2017 Ram Surya Gona University of Pennsylvania, ramgona@seas.upenn.edu Eric D. Johnston Singh Center for Nanotechnology,

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

Installation Precautions

Installation Precautions Installation Precautions 1. Lead orming (1) Avoid bending the leads at the base and ensure that the leads are fixed in place. (2) Bend the leads at a point at least 2mm away from the base. (3) orm the

More information

ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES

ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES ACOUSTIC MICRO IMAGING ANALYSIS METHODS FOR 3D PACKAGES Janet E. Semmens Sonoscan, Inc. Elk Grove Village, IL, USA Jsemmens@sonoscan.com ABSTRACT Earlier studies concerning evaluation of stacked die packages

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Warren W. Flack, Scott Kulas Ultratech Stepper, Inc. San Jose, CA 95134 Craig Franklin HD Microsystems Austin,

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

Diverse Lasers Support Key Microelectronic Packaging Tasks

Diverse Lasers Support Key Microelectronic Packaging Tasks Diverse Lasers Support Key Microelectronic Packaging Tasks Written by D Muller, R Patzel, G Oulundsen, H Halou, E Rea 23 July 2018 To support more sophisticated and compact tablets, phones, watches and

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

2016 IEEE 66th Electronic Components and Technology Conference

2016 IEEE 66th Electronic Components and Technology Conference 2016 IEEE 66th Electronic Components and Technology Conference Next Generation Panel-Scale RDL with Ultra Small Photo Vias and Ultra-fine Embedded Trenches for Low Cost 2.5D Interposers and High Density

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

A study on the fabrication method of middle size LGP using continuous micro-lenses made by LIGA reflow

A study on the fabrication method of middle size LGP using continuous micro-lenses made by LIGA reflow Korea-Australia Rheology Journal Vol. 19, No. 3, November 2007 pp. 171-176 A study on the fabrication method of middle size LGP using continuous micro-lenses made by LIGA reflow Jong Sun Kim, Young Bae

More information

Polymer optical waveguide based bi-directional optical bus architecture for high speed optical backplane

Polymer optical waveguide based bi-directional optical bus architecture for high speed optical backplane Polymer optical waveguide based bi-directional optical bus architecture for high speed optical backplane Xiaohui Lin a, Xinyuan Dou a, Alan X. Wang b and Ray T. Chen 1,*, Fellow, IEEE a Department of Electrical

More information

HOTBAR REFLOW SOLDERING

HOTBAR REFLOW SOLDERING HOTBAR REFLOW SOLDERING Content 1. Hotbar Reflow Soldering Introduction 2. Application Types 3. Process Descriptions > Flex to PCB > Wire to PCB 4. Design Guidelines 5. Equipment 6. Troubleshooting Guide

More information

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking Easley et al. Toner Transfer Masking Page -1- B816575K_supplementary_revd.doc December 3, 2008 Supplementary Information for Rapid and inexpensive fabrication of polymeric microfluidic devices via toner

More information

(Ar [ Si O Si O] m )n

(Ar [ Si O Si O] m )n The widespread adoption of advanced packaging techniques is primarily driven by electrical device performance and chip form factor considerations. Flip chip packaging is currently growing at a 27% compound

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Preliminary Ideas: PTFE-Based Microwave Laminates and Making Prototypes

Preliminary Ideas: PTFE-Based Microwave Laminates and Making Prototypes Appendix I Preliminary Ideas: PTFE-Based Microwave Laminates and Making Prototypes A1.1 PTFE Laminates PTFE is a popular abbreviation representing a very useful high frequency material, whose chemical

More information