MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

Size: px
Start display at page:

Download "MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS"

Transcription

1 MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the ASCR, v. v. i., Královopolská 147, Brno, Czech Republic, EU, Abstract This contribution deals with a patterning of thin metallic layers using the masking technique by electron beam lithography. It is mainly concentrated on procedures to prepare finger structure in thin Gold layer on electrically isolated Silicon wafer. Both positive and negative tone resists are used for patterning. The thin layer is structured by the wet etching or by the lift off technique. The prepared structures are intended to be used as a conductivity sensor for a variety of sensor applications. Patterning of the thin layer is performed by the e beam writer with shaped rectangular beam BS600 by direct writing (without the glass photo mask). Besides the main technology process based on the direct write e beam lithography, other auxiliary issues are also discussed such as stitching and overlay precision of the process, throughput of this approach, issues of the thin layer adhesion on the substrate, inter operation control and measurement techniques. Keywords: Gold etching, lift-off, electron beam lithography, interdigitated sensor. 1. INTRODUCTION Development of interdigitated sensors has received considerable attention in last years when these structures have been widely used in telecomunication, biotechnology, chemical sensors, acoustic sensors, micromechanical aplication and humidity sensors [1, 2]. Each of these aplication requires appropriately designed structures with properties enabling intended purpose. The main goal of this contribution focuses on interdigitated structures fabrication using shaped E-beam writer and additional technologies to it (etching, plasma etching, metal sputtering) [3, 4, 5]. 2. DESIGN OF INTERDIGITATED SENSORS It was intended that sensors will be used for biological application. Due to this requirement, interdigitated electrodes had to be fabricated from gold. Silicon wafer used as a substrate had to be electrically isolated which provides silicone dioxide layer of 130 nm thick. Sensor application required chemically resistant layer all over the sensor apart from interdigitated electrodes area and bonding pads (design of sensors is in figure 1). As the resistant layer electron resist SU-8 was used [6].

2 3,18 0,005 1,2 2,2 1,14 0,005 0,5 0,005 0,5 3,5 Fig. 1 Design of interdigitated sensors (mm scale). 3. WET ETCHED GOLD INTERDIGATATED SENSORS Wet etching of gold over the resist mask was the first testing approach to prepare interdigitated sensors. The gold layer of thickness 100 nm thick gold layer was sputtered on silicon wafer (covered with silicone dioxide). Then negative resist SU-8 (etching mask) was spin-coated onto the wafer. Desired pattern was exposed by e-beam writer BS600. After developing process gold layer was etched by standard Sigma-Aldrich gold etchant [7]. Final etched electrodes are shown in figure 2. Fig. 2 Wet etched gold interdigitated electrodes. Figure 2 shows that electrode edges are not very uniform. Non-homogenous etching causes different size of electrodes on different substrate position (gold etchant remains for several seconds on some areas on substrate during the cleaning process and gold is etched further). Optical inspection (CLSM) was carried out in this phase of microstructuring to determine the yield of this approach. The yield was 63% (63 of 100 sensors), which was acceptable. In order to spin-coat second resist layer of SU-8 the resist mask was removed in oxygen plasma after optical inspection and subsequently the same procedure as in the first exposition was repeated (precision of second exposition is sufficient figure 3). Throughput of this approach (from preparing substrate to optical inspection before laser cutting) was about five days for one wafer (plus three days for preparing data for e-beam writer). Complete sensor prepared for laser cutting is shown in figure 3.

3 desired position 5 μm shifted a) b) Fig. 3 Sensor covered with chemically resistant layer (a), overlay precision of second exposition (b). Main issues of unsuccessful process repeating were as follows: impossibility to control the etching process (it runs too fast to precisely determine the right etching time), weak adhesion of gold layer on silicon dioxide in following procedures (cleaning after laser cutting, bonding, ). 4. INTERDIGITATED SENSORS PREPARED BY LIFT-OFF TECHNIQUE Lift-off is an additive technique as opposed to subtracting technique like etching. It is based on sacrificing layer, in which is fabricated desired pattern. There are several lift-off techniques, which are different in number of layers and their composition. We used lift-off technique, which takes advantage of two resist layer differing in their molecular weight. The bottom layer has lower molecular weight than the top layer. Because of that the bottom layer dissolves faster than the top layer and it creates undercut effect, which helps to avoid coverage of side walls by sputtered metal [6, 8]. Lift-off process steps are shown in figure 4. a) b) c) d) e) f) Fig. 4 Lift-off process steps, a) deposition of first resist layer, b) deposition of second resist layer, c) patterning sacrificial layers (exposition, developing), d) deposition of metal, e) dissolving of the sacrificial layers, f) final pattern. Positive resist PMMA [9] was used for both sacrificial layers. Bottom layer had molecular weight of 350k and it was 14% wt solution in anisole. The bottom layer was baked on hot plate at 150 C for 30 minutes. The thickness of the layer was 760 nm. The top layer had molecular weight of 950k and it was 6% wt solution in anisole. The top layer was baked on hot plate at 175 C for 30 minutes. Total thickness of both resist layers was 1050 nm. After resist baking the exposition was done (dose of 14 µc.cm -2 ). We used acetone based developer for chemical developing. Some undesirable issues as cracks on electrodes (because of stress in such a thick resist layer) occurred after wet developing. Also acetone based developer is aggressive. Due to this there is a small technological window in developing process. If developing time is too short, there can be some resist residue on the silicon dioxide surface and if developing time is too long, there can be cracks on electrodes or electrodes can be totally shifted and they can overlap each other.

4 After chemical developing we removed resist residues from silicon dioxide surface in oxygen plasma [10]. Afterwards thin gold layer (approximately 100 nm) was sputtered onto the wafer [4]. Sacrificial resist layers were dissolved in trichlormethane for a several seconds and undesired gold layer was washed away. However there were some areas between electrodes still covered by gold (figure 5a). Due to the cracks in resist layer some electrodes were connected by very thin strip of gold (figure 5b) resulting in short-circuit of the whole sensor, which makes the sensor unusable. We tried to clean wafer in trichlormethane bath in ultrasonic cleaner to remove remaining gold between electrodes. Most of gold (even gold on desired places like electrodes, bonding pads, ) was washed out after few seconds in ultrasonic cleaner. a) b) Fig. 5 a) areas between electrodes covered by gold, b) short-circuited electrodes. Throughput of this approach was same as in first approach (etching) about five days (data for e-beam writer were the same). The biggest problem of this approach was adhesion of gold to silicon dioxide. To improve gold adhesion we sputtered thin layer of chromium (approximately 10 nm) onto the silicon dioxide before gold sputtering. The adhesion differed significantly. After washing wafer in trichlormethane we can remove easily remaining gold between electrodes in ultrasonic cleaner without damaging of sensor pattern. However problem with short-circuited electrodes remains same as problem with small technological window of acetone based developer. It was necessary to completely change resist deposition parameters (resist layers thickness, baking time, less aggressive developer) to avoid these problems. Again we used PMMA for both sacrificial layers, but in different concentration. The bottom layer was 9% wt in anisole (molecular weight 350k). The bottom layer was baked on hot plate at 100 C for 10 minutes, then at 125 C for 10 minutes and finally at 150 C for 10 minutes. The thickness of this layer was approximately 500 nm. The top layer was same as in the first case. However baking process was different. The top layer was baked on hot plate at 100, 125, 150 and 160 C, each step took 10 minutes. Total thickness of both layers was approximately 800 nm. Thereafter sensors pattern was exposed (dose of 20 μc.cm -2 ). We used pentyl acetate for developing process. This developer is not aggressive as acetone based one, therefore developing took longer time. Total developing time was 40 minutes. Optical inspection confirmed that there were no cracks on electrodes after developing process. Necessary oxygen plasma treatment was carried out after chemical developing. Between the developing process and metal deposition it is necessary to let the substrate dry out for several hours at room temperature. Afterwards thin layer of chromium was sputtered onto the wafer (as layer improving adhesion) and then the gold was sputtered. Next we used trichlormethane bath in ultrasonic cleaner to remove sacrificial layers with redundant gold. There were no gold residues between electrodes and gold pattern withstood the cleaning process without damage. The optical inspection determined yield of 87,5% (105 of 120 sensors) for this approach.

5 After the cleaning process we deposited SU-8 resist and resistant layer pattern was exposed onto the wafer. Then the chemical developing was carried out and the whole process was finished. Completed sensors are shown in figure 6. Throughput of this approach was 6 days. Fig. 6 Sensor covered by chemical resistant layer prepared by lift-off technique. 5. RESULTS We successfully tested two approaches of gold interdigitated sensors fabrication, wet etching and lift-off technique. According to our results lif-off technique is more suitable for this process. Final process consists of these steps: 1) deposition of first sacrificial resist layer - PMMA 350k, 9% wt solution in anisole, 2) baking on hot plate at 100, 125 and 150 C, each step for 10 minutes, thickness of layer is about 500 nm, 3) deposition of second sacrificial resist layer - PMMA 950k, 6% wt solution in anisole, 4) baking on hot plate at 100, 125, 150 and 160 C, each step for 10 minutes, thickness of second layer is about 300 nm, 5) pattern exposition by e-beam writer BS600, dose of 20 μc.cm -2, 6) chemical developing with pentyl acetate for 40 minutes, oxygen plasma treatment (100 W, 1 minute), 7) drying out the substrate for several hours, 8) chromium deposition (10 nm), gold deposition (100 nm), 9) removal of sacrificial layers in trichlormethane bath in ultrasonic cleaner. 6. CONCLUSION We created deep precise microstructures in double resist layer and consequently we fabricated metallic layers microstructures for sensor application. As we enhanced thin gold layer adhesion we improved cleaning process. ACKNOWLEDGEMENT This work was partially supported by the EC and MEYS CR (project No. CZ.1.05/2.1.00/ ALISI), the TACR project No. TE and by the institutional support RVO:

6 REFERENCES [1] ZOU, Z. at. al., Functionalized nano interdigitated electrodes arrays on polymer with interdigitated microfluidics for direct bio-affinity sensing using impedimetric measurement. In: Sensors and Actuators A, Vol. 136, 2007, p [2] QURESHI, A. et al., Label-free capacitive biosensor for sensitive detection of multiple biomarkers using gold interdigitated capacitor arrays,2010, Biosensors & Biolectronics 25 (10), p [3] KOLAŘÍK, V et al., Writing system with shaped electron beam, Jemná mechanika a optika, Vol. 53, No.1, 2008, p , ISSN [4] HORÁČEK, M. et al., Thin Metallic Layers Structured by E-Beam Lithography, In: Proc's of METAL 2012, 21th Int'l Conference on Metallurgy and Materials. May 23-25, 2012, Brno. Tanger, Ostrava, p. 68 and [471.pdf] (6 pages). ISBN [5] KOLAŘÍK, V. et al., Institute of Scientific Instruments: An Overview Presentation. In: Proc's of METAL 2012, 21th Int'l Conference on Metallurgy and Materials. May 23-25, 2012, Brno. Tanger, Ostrava, p. 28 and [461.pdf] (6 pages). ISBN [6] CHOUDHURY, R. Handbook of Microlithography, Micromachining and Microfabrication. Volume 1: Microlithography, SPIE PRESS and IEE, 1997, ISBN [7] Sigma Aldrich. Product specification Gold etchant, standard [quoted ]. 2013, BULK ALDRICH.pdf [8] LEE, H. S. et al., A simple and effective lift-off with positive photoresist. In: Journal of Micromechanics and Microengineering, Vol. 15, 2005, p [9] Microchem. NANO PMMA and Copolymer [quoted ]. 2001, [10] KRÁTKÝ, S. et al., Usage of plasma system DIENER NANO for etching of resist PMMA, In: Proc's of NANOCON 2012, 4th Int'l Conference. October 23-25, 2012, Brno. Tanger, Ostrava, p. 133 and [776.pdf] (4 pages). ISBN

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM Nov 5 th 7 th 014, Brno, Czech Republic, EU EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM Miroslav HORÁČEK, Stanislav KRÁTKÝ, Michal URBÁNEK, Vladimír KOLAŘÍK,

More information

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION Michal URBANEK a, Vladimir KOLARIK a, Milan MATEJKA a, Frantisek MATEJKA a, Jan BOK a, Petr MIKSIK b, Jan VASINA b a) ISI ASCR,v.v.i., Kralovopolska

More information

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA Institute of Scientific Instruments of the ASCR, v. v.i., Královopolská

More information

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING Miroslav HORÁČEK, František MATĚJKA, Vladimír KOLAŘÍK, Milan MATĚJKA, Michal URBÁNEK Ústav přístrojové techniky AV ČR,

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

An X band RF MEMS switch based on silicon-on-glass architecture

An X band RF MEMS switch based on silicon-on-glass architecture Sādhanā Vol. 34, Part 4, August 2009, pp. 625 631. Printed in India An X band RF MEMS switch based on silicon-on-glass architecture M S GIRIDHAR, ASHWINI JAMBHALIKAR, J JOHN, R ISLAM, C L NAGENDRA and

More information

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Supplementary Information Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Yongkuk Lee 1,+, Benjamin Nicholls 2,+, Dong Sup Lee 1, Yanfei Chen 3, Youngjae Chun 3,4,

More information

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer header for SPIE use On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer Nimit Chomnawang and Jeong-Bong Lee Department of Electrical and Computer

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Development of a Capacitive Humidity Sensor for Physiological Activity Monitoring Applications

Development of a Capacitive Humidity Sensor for Physiological Activity Monitoring Applications Abstract Development of a Capacitive Humidity Sensor for Physiological Activity Monitoring Applications Steven Shapardanis a and Dr. Tolga Kaya a a Central Michigan University, Mount Pleasant, MI 48859

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Electrical Impedance Spectroscopy for Microtissue Spheroid Analysis in Hanging-Drop Networks

Electrical Impedance Spectroscopy for Microtissue Spheroid Analysis in Hanging-Drop Networks Electrical Impedance Spectroscopy for Microtissue Spheroid Analysis in Hanging-Drop Networks Yannick R. F. Schmid, Sebastian C. Bürgel, Patrick M. Misun, Andreas Hierlemann, and Olivier Frey* ETH Zurich,

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

Microelectromechanical spatial light modulators with integrated

Microelectromechanical spatial light modulators with integrated Microelectromechanical spatial light modulators with integrated electronics Steven Cornelissen1, Thomas Bifano2, Paul Bierden3 1 Aerospace and Mechanical Engineering, Boston University, Boston, MA 02215

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

A Flexible Fabrication Process for RF MEMS Devices

A Flexible Fabrication Process for RF MEMS Devices ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 3, 2011, 259 268 A Flexible Fabrication Process for RF MEMS Devices F. GIACOMOZZI, V. MULLONI, S. COLPO, J. IANNACCI, B. MARGESIN,

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98

EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 EXPERIMENT # 3: Oxidation and Etching Tuesday 2/3/98 and 2/5/98 Thursday 2/10/98 and 2/12/98 Experiment # 3: Oxidation of silicon - Oxide etching and Resist stripping Measurement of oxide thickness using

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Chemical Machining of Monel

Chemical Machining of Monel Chemical Machining of Monel D. Patil 1, R. Dugad 2*, S. Farakte 2, M. Sadaiah 3 1 Research Scholar, 2 PG Student, 3 Associate professor Dr Babasaheb Ambedkar Technological University, Lonere, 2 103, India

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE Chih-Yuan Chang and Yi-Min Hsieh and Xuan-Hao Hsu Department of Mold and Die Engineering, National

More information

Obducat NIL 6. Nanoimprinting with NRF s NIL 6

Obducat NIL 6. Nanoimprinting with NRF s NIL 6 Obducat NIL 6 Substrates: pieces to 6 inch, hard or soft Thermal cure with PMMA, MR I 7010 etc Alignment to about 3 microns Temperature to 300 HC Pressure 15 to 80 bars Resolution < 50 nm possible Up to

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes.

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. S- symmetric, AS antisymmetric. b) Calculated linear scattering spectra of individual

More information

High Power RF MEMS Switch Technology

High Power RF MEMS Switch Technology High Power RF MEMS Switch Technology Invited Talk at 2005 SBMO/IEEE MTT-S International Conference on Microwave and Optoelectronics Conference Dr Jia-Sheng Hong Heriot-Watt University Edinburgh U.K. 1

More information

Supplementary information for

Supplementary information for Supplementary information for A fast and low power microelectromechanical system based nonvolatile memory device Sang Wook Lee, Seung Joo Park, Eleanor E. B. Campbell & Yung Woo Park The supplementary

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

CLAIMS 1. A suspension board with circuit, characterized in that, it comprises a metal support layer, an insulating layer formed on the metal support

CLAIMS 1. A suspension board with circuit, characterized in that, it comprises a metal support layer, an insulating layer formed on the metal support [19] State Intellectual Property Office of the P.R.C [51] Int. Cl 7 G11B 5/48 H05K 1/11 [12] Patent Application Publication G11B 21/16 [21] Application No.: 00133926.5 [43] Publication Date: 5.30.2001

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template Supporting Information High throughput ultra-long (20cm) nanowire fabrication using a wafer-scale nanograting template Jeongho Yeon 1, Young Jae Lee 2, Dong Eun Yoo 3, Kyoung Jong Yoo 2, Jin Su Kim 2,

More information

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE This note is a brief description of the effects of bonding pieces to a carrier wafer during the etch process on the STS ICP-RIE.

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Wing H. Ng* a, Nina Podoliak b, Peter Horak b, Jiang Wu a, Huiyun Liu a, William J. Stewart b, and Anthony J. Kenyon

More information

Micro-Nanofabrication

Micro-Nanofabrication Zheng Cui Micro-Nanofabrication TECHNOLOGIES AND APPLICATIONS ^f**"?* ö Springer Higher Education Press -T O Table of Content Preface About the Author Chapter 1 Introduction 1 1.1 Micro-nanotechnologies

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Conference Paper Cantilever Beam Metal-Contact MEMS Switch

Conference Paper Cantilever Beam Metal-Contact MEMS Switch Conference Papers in Engineering Volume 2013, Article ID 265709, 4 pages http://dx.doi.org/10.1155/2013/265709 Conference Paper Cantilever Beam Metal-Contact MEMS Switch Adel Saad Emhemmed and Abdulmagid

More information

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY Byungki Kim, H. Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess G.W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta,

More information

Heidelberg µpg 101 Laser Writer

Heidelberg µpg 101 Laser Writer Heidelberg µpg 101 Laser Writer Standard Operating Procedure Revision: 3.0 Last Updated: Aug.1/2012, Revised by Nathanael Sieb Overview This document will provide a detailed operation procedure of the

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Vertical nanowire electrode arrays as a scalable platform for intracellular interfacing to neuronal circuits Jacob T. Robinson, 1* Marsela Jorgolli, 2* Alex K. Shalek, 1 Myung-Han Yoon, 1 Rona S. Gertner,

More information

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH AC 2011-1595: EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH Shawn Wagoner, Binghamton University Director, Nanofabrication Labatory at Binghamton University,

More information

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool Contact Aligners (HTG, ABM, EV620) GCA 5X g-line Stepper GCA i-line Steppers (GCA 10X, AS200) Shipley 1800 Series (1805, 1813, 1818, 1827) + + X AZ nlof 2000 O X + AZ4903 + + X OiR 620-7i X X + OiR 897-12i

More information

Sidewall lithography of micron-sized features in high-aspect-ratio meso-scale channels using a three-dimensional assembled mask

Sidewall lithography of micron-sized features in high-aspect-ratio meso-scale channels using a three-dimensional assembled mask Ji et al. Micro and Nano Systems Letters 2014, 2:6 LETTER Open Access Sidewall lithography of micron-sized features in high-aspect-ratio meso-scale channels using a three-dimensional assembled mask Chang-Hyeon

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1 MLA 150 (DLA) Presentation and examples Théophane Besson, 17.03.2015, Heidelberg Instruments GmbH 1 Presentation of the tool The MLA 150 (named DLA in the past) is a new generation Maskless Aligner developed

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array 2017 2nd International Conference on Applied Mechanics, Electronics and Mechatronics Engineering (AMEME 2017) ISBN: 978-1-60595-497-4 Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Introduction to Microdevices and Microsystems

Introduction to Microdevices and Microsystems PHYS 534 (Fall 2008) Module on Microsystems & Microfabrication Lecture 1 Introduction to Microdevices and Microsystems Srikar Vengallatore, McGill University 1 Introduction to Microsystems Outline of Lecture

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, 10-15 June 2018. Citation for the original

More information

Electrothermal Actuator

Electrothermal Actuator Electrothermal Actuator 09-09-14 Generated by CleanRoom Substrate thickness: 50 (µm) Comments: 1. Substrate Si Czochralski (100) Film Thickness: 600 nm (Conformal) Comments: 2. Deposition Si3N4 PECVD (Ar)

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

THE DESIGN AND FABRICATION OF CAPILLARY FORCE MICROACTUATORS FOR DEFORMABLE MIRRORS. Alexander Russomanno University of Virginia Advisor: Carl Knospe

THE DESIGN AND FABRICATION OF CAPILLARY FORCE MICROACTUATORS FOR DEFORMABLE MIRRORS. Alexander Russomanno University of Virginia Advisor: Carl Knospe THE DESIGN AND FABRICATION OF CAPILLARY FORCE MICROACTUATORS FOR DEFORMABLE MIRRORS Alexander Russomanno University of Virginia Advisor: Carl Knospe Adaptive optics (AO) is a revolutionary technology that

More information

A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE

A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE To be presented at the 1998 MEMS Conference, Heidelberg, Germany, Jan. 25-29 1998 1 A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE P.-C. Hsu, C. H. Mastrangelo, and K. D. Wise Center for

More information

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates Kevin J. Nordquist 1, David P. Mancini 1, William J. Dauksher 1, Eric S. Ainley 1, Kathy A. Gehoski 1, Douglas

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 1: Definition

More information

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University NanoFabrication Kingston Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University What is NFK? It s a place, an team of experts and a service. The goal of

More information

MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2

MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2 Tool owner: Roman Akhmechet, romana@princeton.edu, x 8-0468 Backup: David Barth, dbarth@princeton.edu MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2 QUICK GUIDE PROCEDURE OVERVIEW

More information

Pulsed Laser Ablation of Polymers for Display Applications

Pulsed Laser Ablation of Polymers for Display Applications Pulsed Laser Ablation of Polymers for Display Applications James E.A Pedder 1, Andrew S. Holmes 2, Heather J. Booth 1 1 Oerlikon Optics UK Ltd, Oxford Industrial Estate, Yarnton, Oxford, OX5 1QU, UK 2

More information

Micro- and Nano- Fabrication and Replication Techniques

Micro- and Nano- Fabrication and Replication Techniques Micro- and Nano- Fabrication and Replication Techniques Why do we have to write thing small and replicate fast? Plenty of Room at the Bottom Richard P. Feynman, December 1959 How do we write it? We have

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

MICROFLEX Project: MEMS on New Emerging Smart Textiles/Flexibles

MICROFLEX Project: MEMS on New Emerging Smart Textiles/Flexibles MICROFLEX Project: MEMS on New Emerging Smart Textiles/Flexibles S Beeby, M J Tudor, R Torah, K Yang, Y Wei Dr Steve Beeby ESD Research Group Smart Fabrics 2011 5 th April 2011 Overview Introduce the MicroFlex

More information