If the pattern is misaligned by θ= 1, the actual size of the KOH pit will be (cos θ+ sin θ ) and hence the edge length variation will be:

Size: px
Start display at page:

Download "If the pattern is misaligned by θ= 1, the actual size of the KOH pit will be (cos θ+ sin θ ) and hence the edge length variation will be:"

Transcription

1 ME141BIntroductiontoMEMS November1 st,2010 ExampleHomework#2 Problem1: WeintendtouseKOHetchingtoformadiaphragmona(100)siliconwafer.Itwilbe asquaremembranewithathicknesswell definedbyanetchstop(e.g., electrochemicaletchstopofansoiwafer),suchthattherearenorealthickness variationsinthediaphragmitself.whatfeaturesizeisrequiredtoproduceasquare diaphragmwitha400mmsidelengthby20mmthicknessonasiliconwaferthatis 500mmthick(thiswaferthicknessincludesthemembranethickness)?Whatisthe edgelengthvariationofthediaphragmiftheetchmaskismisaligned1degreetothe <110>directin?Assumingthesensitivityofapressuresensorvariesastheinverse fourthpowerofthediaphragmedgelength,whatpercentageofvariationcanbe attributedtomaskmisalignment? Problem1Solution: Thefigureshowsthedesireddiaphragmfeaturesona(100)siliconwafer.The maskfeaturesizeacanbeexpressedasafunctionofwaferthicknesstw,diaphragm thicknesstd,diaphragmdimensiondandtheintersectionangleθbetween{111}and {100}planes: Substitutingthenumbers,wegeta=1079.7um. Ifthepatternismisalignedbyθ=1,theactualsizeoftheKOHpitwillbe(cosθ+sin θ)andhencetheedgelengthvariationwillbe: Thisinturntranslatestotheedge lengthvariationforthediaphragmδd.

2 IfthesensitivitySofapressuresensorvariesastheinversefourthpowerofthe diaphragmedgelengthd,i.e. thenthepercentagevariationattributedtovariationsinwaferthicknessis: Problem2:Debugandrecreateaprocessandmasksetforapressure sensing silicondiaphragm You are a young junior faculty member who has just hired your first graduate student, Wayford Roppar. You have developed an idea for using a sealed cavity pressure sensingsilicondiaphragm(1mmacrossand15μmthick)thatyou resure willmakeyoufamousandassureyourtenure.youaskwayfordtodesignaprocess flowforcreatingthissimplestructure,andwayfordreturnswiththeprocessflow detailedinfigure1. Being a seasoned MEMS designer, you immediately notice several critical errors with Wayford s process (things that won t work or won t produce the result that Wayfordshowsinthecrosssections).Pleasefindthecriticalerrorsinthisprocess flow and, where possible, suggest alternate approaches. Do not worry about the accumulationoferrors,butrathertreateachstepassumingthatthestructureupto thatstepcouldbecreated. Then recreate a correct process flow along with the device cross sections at each stepandtheassociatedmaskset(withdimensions).

3 Processsteps: 1.Startwithadouble side polishedn typesiliconwafer. 2.Performphotolithographyusing1 μm thickpositivephotoresisttodefine thediaphragmarea. 3.Deep reactive ionetchthesilicontoformthediaphragm;ashresist. 4.Anodicallybondthesiliconwafertoapyrexwafer.

4 Problem2Solution: ProposedProcessStep 1. Start with a double side polished n typesiliconwafer 2. Perform photolithography using 1 μm thick positive photoresist to define thediaphragmarea 3. Deep reactive ion etch the silicon to formthediaphragm;ashresist. Error Noneyet! Must precede with a clean (RCA or piranha) 1μmofPRisverythinwhenusedasa mask in DRIE. In other words, since the selectivityofdrietosiliconoverpris~ 50:1, etching though ~ 500μm of Si would require more than 10μm of PR. Also, DRIE would lead to a non uniform membrane thickness with variations on the order of the required thickness (15 μm). This will make the device function improperlyiffabricatedatall. 4.Anodicallybondthesiliconwafertoa Mustprecedewithcleaningwafer pyrexwafer Correctedprocess: 1.Startwithdouble sidepolishedsoiwafer,devicelayer15μmthick,oxide layer1μmthick,substrate500μmthick.rcaclean. 2.DepositLPCVDnitride,0.5μmthick(willcoatbothsides). 3. Spin 1 μm thick positive photoresist on bottom side and perform photolithographyusingmask1tothebottomside. 4.DryetchthenitrideonthebottomsideusingCF4/H2plasmaforexample. Ashresist. 5.KOHetchthesiliconfromthebottomsideusingtheembeddedoxidelayer as an etch stop. If the dimensions of Mask 1 were calculated correctly, the resultantprofileonthetopsidemustbe1mmacross. 6.Piranhacleantoremoveallresistresidue. 7.Etchtheremainingnitridein85%phosphoricacid. 8.EtchtheexposedoxideusingBOEfor~10minutes.RCAclean. 9.AnodicallybondthepatternedSOIwafertoaPyrexwafer.

5

6 Problem3: Thefigurebelowshowsanelectricaltrapthatusesdielectrophoresis(DEP)totrap cells.youwilldesignaprocessandmasksetthatwillproducethisstructure(notto scale). Both metal layers must be 0.5 μm thick gold (though other metals are acceptable beneath the gold). The substrate B and layer A both must be electrical insulators. The gold linewidth is 10 μm, and all other critical parameters are specifiedinthefigure. You are asked to create a table of process steps, along with process flow crosssectional diagrams and masks. Specify materials and the proposed etch methods, and be sure to include as steps in your process the required wafer cleans, applicationofphotoresist,andstrippingofphotoresist.youdonotneedtoinclude dimensions in your mask set in this problem (but do draw the geometries correspondingly).

7 Problem3solution: Step Description StartingMaterial:GlassWafer 4 or6 willbeusedasinsulatinglayerb 1 Clean PiranhaorRCA1,solventcleanwouldbeacceptable 2 Photolithography UsingnegativeresistandMask1.Thicknessofresistatleast 1.5μm(3timesthatofthelayertobeliftedoff).Negative resistnecessaryforliftoffprocesslateron 3 DepositAu Tibilayer E beamevaporation(goodforlift offtobeperformedinnext step).thicknessofgold~0.5μm,thicknessoftitanium~100 Å.Tiusedasadhesionlayer. 4 Lift offau Tibilayer Acetone.Followedbywaterrinse. 5 Clean Solventcleangoodhere PirahnamayeatupsomeoftheTi layerandmayleadtodelaminationofgoldlayer 6 DepositSiliconOxide PECVD,about10μmthickness.Willbeusedasinsulating layera. 7 Photolithography UsenegativeresistandMask2.Thicknessofresistmustbe greaterthan1.5um. 8 DepositAu Tibilayer E beamevaporation.thicknessofgold~0.5μm,thicknessof titanium~100å.tiusedasadhesionlayer. 9 Lift offau Tibilayer Acetone.Followedbysolventcleanandwaterrinse. 10 Photolithography Spincastpositivethickphotoresist,prebake;exposeMASK3, develop,postbake 11 EtchOxide DryetchusingCF4/H2plasma.Anisotropicandselectiveover Si. 12 StripResist AshwithanAsher 13 Clean Solventcleanok. Ï

8

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term Massachusetts Institute of Technology

6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term Massachusetts Institute of Technology 6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 Massachusetts Institute of Technology PROBLEM SET 2 (16 pts) Issued: Lecture 4 Due: Lecture 6 Problem 4.14 (4 pts):

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

MEMS Processes at CMP

MEMS Processes at CMP MEMS Processes at CMP MEMS Processes Bulk Micromachining MUMPs from MEMSCAP Teledyne DALSA MIDIS Micralyne MicraGEM-Si CEA/LETI Photonic Si-310 PHMP2M 2 Bulk micromachining on CMOS Compatible with electronics

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

An X band RF MEMS switch based on silicon-on-glass architecture

An X band RF MEMS switch based on silicon-on-glass architecture Sādhanā Vol. 34, Part 4, August 2009, pp. 625 631. Printed in India An X band RF MEMS switch based on silicon-on-glass architecture M S GIRIDHAR, ASHWINI JAMBHALIKAR, J JOHN, R ISLAM, C L NAGENDRA and

More information

Electrothermal Actuator

Electrothermal Actuator Electrothermal Actuator 09-09-14 Generated by CleanRoom Substrate thickness: 50 (µm) Comments: 1. Substrate Si Czochralski (100) Film Thickness: 600 nm (Conformal) Comments: 2. Deposition Si3N4 PECVD (Ar)

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 21: Gyros

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking

Rapid and inexpensive fabrication of polymeric microfluidic devices via toner transfer masking Easley et al. Toner Transfer Masking Page -1- B816575K_supplementary_revd.doc December 3, 2008 Supplementary Information for Rapid and inexpensive fabrication of polymeric microfluidic devices via toner

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Heterogeneous Technology Alliance. SOI MEMS Platform

Heterogeneous Technology Alliance. SOI MEMS Platform Heterogeneous Technology Alliance SOI MEMS Platform Added value of HTA SOI MEMS Platform to customers 23-Aug-11 Page 1 Attractive offering of HTA SOI MEMS Platform One-stop shop 1 Very extensive R&D resources,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

Nanostencil Lithography and Nanoelectronic Applications

Nanostencil Lithography and Nanoelectronic Applications Microsystems Laboratory Nanostencil Lithography and Nanoelectronic Applications Oscar Vazquez, Marc van den Boogaart, Dr. Lianne Doeswijk, Prof. Juergen Brugger, LMIS1 Dr. Chan Woo Park, Visiting Professor

More information

Photomask Patterning for Slope-Form Deep Etching Using Deep-Reactive-Ion Etching and Gradation Exposure

Photomask Patterning for Slope-Form Deep Etching Using Deep-Reactive-Ion Etching and Gradation Exposure Sensors and Materials, Vol. 26, No. 1 (214) 31 37 MYU Tokyo S & M 967 Photomask Patterning for Slope-Form Deep Etching Using Deep-Reactive-Ion Etching and Gradation Exposure Masaki Yamaguchi * and Yuki

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers Supporting Information Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers Thang Duy Dao 1,2,3,*, Kai Chen 1,2, Satoshi Ishii 1,2, Akihiko Ohi 1,2, Toshihide Nabatame

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

DIY fabrication of microstructures by projection photolithography

DIY fabrication of microstructures by projection photolithography DIY fabrication of microstructures by projection photolithography Andrew Zonenberg Rensselaer Polytechnic Institute 110 8th Street Troy, New York U.S.A. 12180 zonena@cs.rpi.edu April 20, 2011 Abstract

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Sensors and Materials, Vol. 18, No. 3 (2006) 125 130 MYU Tokyo 125 S & M 0636 Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Jung-Hun Kim,

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

SOIMUMPs Design Handbook

SOIMUMPs Design Handbook SOIMUMPs Design Handbook a MUMPs process Allen Cowen, Greg Hames, DeMaul Monk, Steve Wilcenski, and Busbee Hardy MEMSCAP Inc. Revision 8.0 Copyright 2002-2011 by MEMSCAP Inc.,. All rights reserved. Permission

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 20: Equivalent

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

UNIVERSITY OF OSLO. Guide for this exam:

UNIVERSITY OF OSLO. Guide for this exam: Page 1 UNIVERSITY OF OSLO Faculty of Mathematics and Natural Sciences Guide for this exam: Exam in: FYS4260 Microsystems and Electronic Packaging & Interconnection Technologies Exam date: Friday, June

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

SILICON BASED VERTICAL MICRO-COAXIAL TRAN- SITION FOR HIGH FREQUENCY PACKAGING TECH- NOLOGIES

SILICON BASED VERTICAL MICRO-COAXIAL TRAN- SITION FOR HIGH FREQUENCY PACKAGING TECH- NOLOGIES Progress In Electromagnetics Research B, Vol. 50, 1 17, 2013 SILICON BASED VERTICAL MICRO-COAXIAL TRAN- SITION FOR HIGH FREQUENCY PACKAGING TECH- NOLOGIES Justin Boone *, Subramanian Krishnan, and Shekhar

More information

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Olga G. Varona, Geoff Walsh, Bernie Capraro Intel Ireland 21 June 2011 Abbreviation list D: drain FIB: focused ion-beam HRTEM: high resolution

More information

Study of a Miniature Air Bearing Linear Stage System

Study of a Miniature Air Bearing Linear Stage System Materials Science Forum Vols. 55-57 (26) pp. 13-18 online at http://www.scientific.net (26) Trans Tech Publications, Switzerland Study of a Miniature Air Bearing Linear Stage System K. C. Fan 1, a, R.

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009 Advanced Plasma Technology High precision film thickness trimming for the TFH industry Roth & Rau AG September 2009 Product Overview IonScan Equipment for ultra-precise Surface Processing IonScan 800 Wafer

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

TSI, or through-silicon insulation, is the

TSI, or through-silicon insulation, is the Vertical through-wafer insulation: Enabling integration and innovation PETER HIMES, Silex Microsystems AB, Järfälla SWEDEN Through-wafer insulation has been used to develop technologies such as Sil-Via

More information

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, 10-15 June 2018. Citation for the original

More information

Nano-structured superconducting single-photon detector

Nano-structured superconducting single-photon detector Nano-structured superconducting single-photon detector G. Gol'tsman *a, A. Korneev a,v. Izbenko a, K. Smirnov a, P. Kouminov a, B. Voronov a, A. Verevkin b, J. Zhang b, A. Pearlman b, W. Slysz b, and R.

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Supplementary Information

Supplementary Information Supplementary Information Wireless thin film transistor based on micro magnetic induction coupling antenna Byoung Ok Jun 1, Gwang Jun Lee 1, Jong Gu Kang 1,2, Seung Uk Kim 1, Ji Woong Choi 1, Seung Nam

More information

Fabrication of plastic microlens array using gas-assisted micro-hot-embossing with a silicon mold

Fabrication of plastic microlens array using gas-assisted micro-hot-embossing with a silicon mold Infrared Physics & Technology 48 (2006) 163 173 www.elsevier.com/locate/infrared Fabrication of plastic microlens array using gas-assisted micro-hot-embossing with a silicon mold C.-Y. Chang a, S.-Y. Yang

More information

A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields

A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields Progress In Electromagnetics Research C, Vol. 59, 41 49, 2015 A Novel WL-Integrated Low-Insertion-Loss Filter with Suspended High-Q Spiral Inductor and Patterned Ground Shields Tao Zheng 1, 2, Mei Han

More information

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany

MEMS Sensors: From Automotive. CE Applications. MicroNanoTec Forum Innovations for Industry April 19 th Hannover, Germany MEMS Sensors: From Automotive to CE Applications MicroNanoTec Forum Innovations for Industry 2010 April 19 th Hannover, Germany Oliver Schatz, CTO 1 Engineering April 2010 GmbH 2009. All rights reserved,

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

MEMS Retard & Impact Sensors

MEMS Retard & Impact Sensors Joint Fuze Technology Program MEMS Retard & Impact Sensors Principal: Contributors: Walter Maurer, NAWCWD China Lake Dr. Daniel Jean, NSWC Indian Head Ryan Knight, ARDEC 13 May 2010 DISTRIBUTION STATEMENT

More information

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Inkjet Filling of TSVs with Silver Nanoparticle Ink Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Outline Motivation for this study Inkjet in MEMS fabrication

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Vertical nanowire electrode arrays as a scalable platform for intracellular interfacing to neuronal circuits Jacob T. Robinson, 1* Marsela Jorgolli, 2* Alex K. Shalek, 1 Myung-Han Yoon, 1 Rona S. Gertner,

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE This note is a brief description of the effects of bonding pieces to a carrier wafer during the etch process on the STS ICP-RIE.

More information

Optimized Micro-Via Technology for High Density and High Frequency (>40GHz) Hermetic Through-Wafer Connections in Silicon Substrates

Optimized Micro-Via Technology for High Density and High Frequency (>40GHz) Hermetic Through-Wafer Connections in Silicon Substrates Optimized Micro-Via Technology for High Density and High Frequency (>40GHz) Hermetic Through-Wafer Connections in Silicon Substrates Abstract We present the design, fabrication technology, and experimental

More information

Sensitivity Analysis of MEMS Based Piezoresistive Sensor Using COMSOL Multiphysics

Sensitivity Analysis of MEMS Based Piezoresistive Sensor Using COMSOL Multiphysics See discussions, stats, and author profiles for this publication at: http://www.researchgate.net/publication/269222582 Sensitivity Analysis of MEMS Based Piezoresistive Sensor Using COMSOL Multiphysics

More information

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Cheng-Hsuan Lin a, Yi-Chung Lo b, Wensyang Hsu *a a Department of Mechanical Engineering, National Chiao-Tung University,

More information

Micro Coriolis Mass Flow Sensor with Extended Range for a Monopropellant Micro Propulsion System

Micro Coriolis Mass Flow Sensor with Extended Range for a Monopropellant Micro Propulsion System DOI 10.516/sensor013/D.4 Micro Coriolis Mass Flow Sensor with Extended Range for a Monopropellant Micro Propulsion System Joost C. Lötters 1,, Jarno Groenesteijn, Marcel A. Dijkstra, Harmen Droogendijk,

More information

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1 MLA 150 (DLA) Presentation and examples Théophane Besson, 17.03.2015, Heidelberg Instruments GmbH 1 Presentation of the tool The MLA 150 (named DLA in the past) is a new generation Maskless Aligner developed

More information

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Increased performance requirements in terms of the environment, safety and comfort have recently been imposed on automobiles to ensure efficient

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Integrated Circuits: FABRICATION & CHARACTERISTICS - 4. Riju C Issac

Integrated Circuits: FABRICATION & CHARACTERISTICS - 4. Riju C Issac Integrated Circuits: FABRICATION & CHARACTERISTICS - 4 Riju C Issac INTEGRATED RESISTORS Resistor in a monolithic IC is very often obtained by the bulk resistivity of one of the diffused areas. P-type

More information

(ksaligner & quintel resolution)

(ksaligner & quintel resolution) Process [4.10] (ksaligner & quintel resolution) 1.0 Process Summary 1.1 Since Karl Suss ksaligner is heavily used and Quintel aligner is not, nanolab decided to compare the 2 micron line resolution from

More information

A new class of LC-resonator for micro-magnetic sensor application

A new class of LC-resonator for micro-magnetic sensor application Journal of Magnetism and Magnetic Materials 34 (26) 117 121 www.elsevier.com/locate/jmmm A new class of LC-resonator for micro-magnetic sensor application Yong-Seok Kim a, Seong-Cho Yu a, Jeong-Bong Lee

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Micro- and Nano- Fabrication and Replication Techniques

Micro- and Nano- Fabrication and Replication Techniques Micro- and Nano- Fabrication and Replication Techniques Why do we have to write thing small and replicate fast? Plenty of Room at the Bottom Richard P. Feynman, December 1959 How do we write it? We have

More information

REVISION #25, 12/12/2012

REVISION #25, 12/12/2012 HYPRES NIOBIUM INTEGRATED CIRCUIT FABRICATION PROCESS #03-10-45 DESIGN RULES REVISION #25, 12/12/2012 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and Area Today Coping with Variation (from last time) Layout Transistors Gates Design rules Standard

More information

The HGTD: A SOI Power Diode for Timing Detection Applications

The HGTD: A SOI Power Diode for Timing Detection Applications The HGTD: A SOI Power Diode for Timing Detection Applications Work done in the framework of RD50 Collaboration (CERN) M. Carulla, D. Flores, S. Hidalgo, D. Quirion, G. Pellegrini IMB-CNM (CSIC), Spain

More information

3-5μm F-P Tunable Filter Array based on MEMS technology

3-5μm F-P Tunable Filter Array based on MEMS technology Journal of Physics: Conference Series 3-5μm F-P Tunable Filter Array based on MEMS technology To cite this article: Wei Xu et al 2011 J. Phys.: Conf. Ser. 276 012052 View the article online for updates

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Australian Journal of Basic and Applied Sciences. A Comparative studies of MEMS Inertial Sensors on its Design and Fabrication

Australian Journal of Basic and Applied Sciences. A Comparative studies of MEMS Inertial Sensors on its Design and Fabrication AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com A Comparative studies of MEMS Inertial Sensors on its Design and Fabrication Khairun Nisa

More information

Tape Automated Bonding

Tape Automated Bonding Tape Automated Bonding Introduction TAB evolved from the minimod project begun at General Electric in 1965, and the term Tape Automated Bonding was coined by Gerard Dehaine of Honeywell Bull in 1971. The

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

Two-Dimensional Capacitive Micromachined Ultrasonic Transducer (CMUT) Arrays for a Miniature Integrated Volumetric Ultrasonic Imaging System

Two-Dimensional Capacitive Micromachined Ultrasonic Transducer (CMUT) Arrays for a Miniature Integrated Volumetric Ultrasonic Imaging System Two-Dimensional Capacitive Micromachined Ultrasonic Transducer (CMUT) Arrays for a Miniature Integrated Volumetric Ultrasonic Imaging System X. Zhuang, I. O. Wygant, D. T. Yeh, A. Nikoozadeh, O. Oralkan,

More information

High Power RF MEMS Switch Technology

High Power RF MEMS Switch Technology High Power RF MEMS Switch Technology Invited Talk at 2005 SBMO/IEEE MTT-S International Conference on Microwave and Optoelectronics Conference Dr Jia-Sheng Hong Heriot-Watt University Edinburgh U.K. 1

More information