IMPACT Roundtable Lithography + DfM

Size: px
Start display at page:

Download "IMPACT Roundtable Lithography + DfM"

Transcription

1 IMPACT Roundtable Lithography + DfM Andy Neureuther Electrical Engineering & Computer Science September 24, 2008 neureuth@eecsberkeley.edu University of California Berkeley San Diego Los Angeles 1

2 IMPACT UC Discovery Industry Team Thanks! University IMPACT Lithography/DfM of California Berkeley Roundtable San 2 Diego Los Angeles

3 Roundtable Objectives understand litho challenges in industry understand research capabilities in universities guide research in the IMPACT program what are issues that are relevant and timely to industry and academic in nature what would you work on if you were a Grad Student? IMPACT Lithography/DfM Roundtable 3

4 Scope Litho and related DfM approaches for 22 nm for 2011 Primarily near term DUV for 22 nm with some discussion of monitors and sources of variation at 45 nm and 32 nm EUV and next Roundtable Ground Rules Scope and Rules No proprietary material. No comments pro or con on specific products of others No recording or official notes Will post a summary of suggestions for IMPACT research Where speakers agree, post PPT for IMPACT internal sharing IMPACT Lithography/DfM Roundtable 4

5 Agenda 1:00 PM - 1:10 PM Round Robin Self-Introductions 1:10 PM - 2:50 PM Litho Challenges (Industry) 8 min each plus audience volunteers Emphasis on issues that are relevant and timely to industry and also academic in nature 2:50 PM - 3:10 PM Research Capabilities (Universities) 4 min each Emphasis on survey of interests, student team, and IMPACT thrusts 3:10 PM 3:30 PM Break and One-on-One Dialog 3:30 PM 4:30 PM Bringing Challenges and Capabilities Together 4:30 PM 5:00 PM Capturing Suggestions and Perspective IMPACT Lithography/DfM Roundtable 5

6 Speakers Industry Lars Liebmann, IBM, ASIC Chandu Gorla, Flash Memory, San Disk Vivek Singh, Microprocessors, Intel Frank Schellenberg, Fabless/Foundry glue, Mentor Graphics Zhenhai Zhu, Double Patterning, Cadence Huixiong Dai, thin-films for pattern transfer, AMAT Mamoru Miyawaki, Exposure tools, Canon Bob Socha, Exposure tools, ASML Stan Stokowski, Inspection, KLA-T University of California Andrew Kahng, UCSC DfM tools Puneet Gupta, UCLA DfM tools Kameshwar Poolla, UCB Algorithms Costas Spanos, UCB variability and assignment of causes Andy Neureuther, UCB fast-cad, electrical test, EM IMPACT Lithography/DfM Roundtable 6

7 What DfM issues remain uncovered in IMPACT Lithography/DfM Roundtable 7 Questions & Challenges Complexity management; Automating systematic pre-compensation What are the fundamental issues that require new theoretic techniques; algorithms What manufacturing variation characterization issues remain uncovered in Monitoring; Analyzing; Feeding back into design? What process technology issues remain uncovered in modeling lithography physics; visualizing processing effects; quantifying complex trade-offs in imaging, alignment and pattern transfer Where should universities be more out-of-the-box to help create breakthroughs? System approach to complexity management; Assessing advantages of what if technology changes; Technology innovation itself

8 IMPACT Lithography/DfM Roundtable Thanks to All Speakers for preparing comments Technologists for your comments and interactions Students for doing the hard work! SanDisk for hosting and Starleigh Arce for local arrangements Changrui Yin for web site posting and reservations Reminder: IMPACT Workshop, Wed. October 29 th at the AMD Commons Building, Santa Clara IMPACT Lithography/DfM Roundtable 8

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Feature-level Compensation & Control. Overview September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. Overview September 15, 2005 A UC Discovery Project Feature-level Compensation & Control Overview September 15, 2005 A UC Discovery Project 2 The Industry Team Year 3 Brion Technologies will Join 09/15/2005 - Overview 3 Objectives Meeting Objectives and

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Keith Best Biography Over the last 27 years, Keith Best has held a variety of semiconductor processing

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

SRII SRII Management Team

SRII SRII Management Team SRII Management Team SRII President: Kris Singh, IBM Services Research Kris Singh is the Director of Strategic Programs for Service Research at IBM Almaden Research Center in San Jose, CA. Kris has over

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006 EUV Source Workshop Agora 2, World Trade Center Barcelona, Spain, October 19, 2006 Organization Committee Vivek Bakshi (Chair, SEMATECH), Vadim Banine (ASML), Akira Endo (EUVA), Igor Fomenkov (Cymer),

More information

Beyond Photolithography The promise of nano-imprint lithography

Beyond Photolithography The promise of nano-imprint lithography Beyond Photolithography The promise of nano-imprint lithography DISTINGUISHED SPEAKERS Dr. S. V. Sreenivasan (CTO, Molecular Imprints, Inc.) John Pong (Nanonex, Inc.) Dr. Will Tong (Quantum Science Research,

More information

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects by Yow-Gwo Wang A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

EDA for IC Implementation, Circuit Design, and Process Technology

EDA for IC Implementation, Circuit Design, and Process Technology EDA for IC Implementation, Circuit Design, and Process Technology Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California,

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES

PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES R. E. Fontana, Jr., N. Robertson, M.C. Cyrille, J. Li, J. Katine San Jose Research Center Hitachi Global Storage Technologies

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

( 2) ρ π V. Index Terms Sensor wafer, autonomous operation, in-situ data acquitsition, wireless communication INTRODUCTION

( 2) ρ π V. Index Terms Sensor wafer, autonomous operation, in-situ data acquitsition, wireless communication INTRODUCTION Real Time In-Situ Data Acquisition Using Autonomous On-Wafer Sensor Arrays Mason Freed, Michiel Krüger, Kameshwar Poolla, and Costas Spanos BCAM-Group, Univeristy of California at Berkeley, 5105 Etcheverry

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES

REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES Soichi Owa Nikon Corporation The current state-of-the-art development technology in immersion lithography has successfully demonstrated

More information

Performance-driven optical proximity correction for mask cost reduction

Performance-driven optical proximity correction for mask cost reduction J. Micro/Nanolith. MEMS MOEMS 6 3, 031005 Jul Sep 2007 Performance-driven optical proximity correction for mask cost reduction Puneet Gupta Blaze DFM, Incorporated 1275 Orleans Drive Sunnyvale, California

More information

Lawrence Livermore Engineering Fellowship Program at Texas A&M Description

Lawrence Livermore Engineering Fellowship Program at Texas A&M Description Lawrence Livermore Engineering Fellowship Program at Texas A&M Description Opportunity for domestic Engineering Undergraduate students (Junior and Senior) with excellent academic records who plan to continue

More information

BERKELEY DAVIS IRVINE LOS ANGELES MERCED RIVERSIDE SAN DIEGO SAN FRANCISCO. Chair of the Assembly of the Academic Senate

BERKELEY DAVIS IRVINE LOS ANGELES MERCED RIVERSIDE SAN DIEGO SAN FRANCISCO. Chair of the Assembly of the Academic Senate UNIVERSITY OF CALIFORNIA, ACADEMIC SENATE BERKELEY DAVIS IRVINE LOS ANGELES MERCED RIVERSIDE SAN DIEGO SAN FRANCISCO SANTA BARBARA SANTA CRUZ Shane N. White Telephone: (510) 987-9303 Fax: (510) 763-0309

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

ModelBuilder Getting Started

ModelBuilder Getting Started 2013 Esri International User Conference July 8 12, 2013 San Diego, California Technical Workshop ModelBuilder Getting Started Matt Kennedy Esri UC2013. Technical Workshop. Agenda Geoprocessing overview

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

Kristina D. Lawson Partner

Kristina D. Lawson Partner Kristina specializes in complex entitlement, land use, environmental and municipal matters. Clients turn to Kristina for help with the interpretation and application of local General Plans, specific plans,

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Nuclear Science and Security Consortium: Advancing Nonproliferation Policy Education

Nuclear Science and Security Consortium: Advancing Nonproliferation Policy Education Nuclear Science and Security Consortium: Advancing Nonproliferation Policy Education Jun 13, 2017 Bethany Goldblum Scientific Director, NSSC University of California, Berkeley NSSC Overview and Mission

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Patent Trivia. Things you never knew to ask

Patent Trivia. Things you never knew to ask Things you never knew to ask Patent examiners at the US Patent & Trademark Office (USPTO) spend about 22 hours investigating each patent application to determine whether the invention is patentable. The

More information

Electrical Engineering 40 Introduction to Microelectronic Circuits

Electrical Engineering 40 Introduction to Microelectronic Circuits Electrical Engineering 40 Introduction to Microelectronic Circuits Instructor: Prof. Andy Neureuther EECS Department University of California, Berkeley Lecture 1, Slide 1 Introduction Instructor: Prof.

More information

Hard Disk Drive Industry Driving Areal Density and Lithography

Hard Disk Drive Industry Driving Areal Density and Lithography Hard Disk Drive Industry Driving Areal Density and Lithography September 18, 2008 Paul Hofemann Molecular Imprints Global Demand for Digital Storage Worldwide population penetration Internet at 20% PC

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)"

L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937) "L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)" Yan Borodovsky SPIE Fellow Leti Alternative Lithography Workshop, March 1, 2018, San Jose, CA,

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Associate Professor, Thomas Jefferson School of Law Non-Resident Fellow, Stanford Law School Edison Innovation Fellow, George Mason University

Associate Professor, Thomas Jefferson School of Law Non-Resident Fellow, Stanford Law School Edison Innovation Fellow, George Mason University EDUCATION BRENDA M. SIMON Associate Professor, Thomas Jefferson School of Law Non-Resident Fellow, Stanford Law School Edison Innovation Fellow, George Mason University 1155 Island Avenue, San Diego, CA

More information

Source 2018 COURSE SCHEDULE SGT. MAJ. PHIL FASCETTI GIVES BACK UC SAN DIEGO EXTENSION CELEBRATES 25 YEARS OF MAKING SAFER WORK SPACES SAFETY FEST

Source 2018 COURSE SCHEDULE SGT. MAJ. PHIL FASCETTI GIVES BACK UC SAN DIEGO EXTENSION CELEBRATES 25 YEARS OF MAKING SAFER WORK SPACES SAFETY FEST SAFETY Source S AV I N G L I V E S W I T H W O R K F O R C E E D U C AT I O N, P R E PA R AT I O N & A W A R E N E S S Est. 1992 UC SAN DIEGO EXTENSION CELEBRATES 25 YEARS OF MAKING SAFER WORK SPACES SAFETY

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Associate Professor, Thomas Jefferson School of Law Edison Innovation Fellow, George Mason University ( )

Associate Professor, Thomas Jefferson School of Law Edison Innovation Fellow, George Mason University ( ) EDUCATION BRENDA M. SIMON Associate Professor, Thomas Jefferson School of Law Edison Innovation Fellow, George Mason University (2017-2018) 1155 Island Avenue, San Diego, CA 92101 (619) 961-4307 bsimon@tjsl.edu

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S Inspection F E A T U R E S Wafer Inspection Technology Challenges for ULSI Manufacturing Part II by Stan Stokowski, Ph.D., Chief Scientist; Mehdi Vaez-Irvani, Ph.D., Principal Research Scientist Continued

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Measurement and Optimization of Electrical Process Window

Measurement and Optimization of Electrical Process Window Measurement and Optimization of Electrical Process Window Tuck-Boon Chan*, Abde Ali Kagalwalla, Puneet Gupta Dept. of EE, University of California Los Angeles (tuckie@ee.ucla.edu) Work partly supported

More information

Dave Wilson Director of Academic Programs National Instruments

Dave Wilson Director of Academic Programs National Instruments Dave Wilson Director of Academic Programs National Instruments Steps in the Technology Design Process Dave Wilson Director of Academic, Training and Certification Programs National Instruments Corporation

More information

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Jason P. Cain, a1 Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering

More information

NA Silicon Wafer Committee Meeting Summary and Minutes

NA Silicon Wafer Committee Meeting Summary and Minutes NA Silicon Wafer Committee Meeting Summary and Minutes Next Committee Meeting July 13, 2010 SEMICON West, San Francisco, CA NA Spring Meetings March 30, 2010, 13:30 16:30 Intel, Santa Clara, CA Table 1

More information

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project Feature-level Compensation & Control Sensors and Control September 15, 2005 A UC Discovery Project 2 Current Milestones Integrated sensor platform development 2 (M26 YII.16) Gather CMP and etching rate

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography University of California, Berkeley Department of Mechanical Engineering ME 290R Topics in Manufacturing, Fall 2014: Lithography Class meetings: TuTh 3.30 5pm in 1165 Etcheverry Tentative class schedule

More information

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Reticle defect size calibration using low voltage SEM and pattern recognition techniques for sub-200 nm defects

Reticle defect size calibration using low voltage SEM and pattern recognition techniques for sub-200 nm defects Reticle defect size calibration using low voltage EM and pattern recognition techniques for sub-2 nm defects Larry Zurbricka, teve Khannaa, Jay Leea, Jim Greed", Ellen Laird', Rene Blanquies" a - KLA-Tencor

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Untying the Gordian Knot:

Untying the Gordian Knot: Untying the Gordian Knot: Providing Order in the Invention Disclosure Process Workshop Topics 1. Inventor Relations 2. Technology Scouting 3. Crafting Disclosures Processes 4. Disclosure Evaluation and

More information

RESEARCH PROGRESS INTO AUTOMATED PIPING CONSTRUCTION. The University of Texas at Austin, U.S.A.

RESEARCH PROGRESS INTO AUTOMATED PIPING CONSTRUCTION. The University of Texas at Austin, U.S.A. RESEARCH PROGRESS INTO AUTOMATED PIPING CONSTRUCTION J. T. O'Connor, A. E. Traver, and R. L. Tucker The University of Texas at Austin, U.S.A. Introduction In its report, Construction Technology Needs and

More information

News, Events & Publications

News, Events & Publications News, Events & Publications Maria Chedid Publications Co-author, "International Arbitration of IP Disputes," Chapter, International Arbitration in the U.S. (forthcoming Kluwer Treatise) Co-author, "Choosing

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Newsletter Week Ten. December 12, In This Issue. In Memoriam. Join Our List. Department News. News for Students. Alumni News

Newsletter Week Ten. December 12, In This Issue. In Memoriam. Join Our List. Department News. News for Students. Alumni News Newsletter Week Ten In This Issue December 12, 2014 Department News In Memoriam News for Students Alumni News Join Our List Passing of Playwright Adele E. Shank, 74 The Department of Theatre and Dance

More information

Final Year Projects 2016/7 Integrated Photonics Group

Final Year Projects 2016/7 Integrated Photonics Group Final Year Projects 2016/7 Integrated Photonics Group Overview: This year, a number of projects have been created where the student will work with researchers in the Integrated Photonics Group. The projects

More information

ArcGIS Online Content

ArcGIS Online Content Esri International User Conference San Diego, California Technical Workshops July 25, 2012 ArcGIS Online Content Deane Kensok Sarah Osborne Today s Agenda Overview Esri Content Portfolio - What s Available,

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

ACM SenSys 2008 Business Meeting. Agenda

ACM SenSys 2008 Business Meeting. Agenda ACM SenSys 2008 Business Meeting Andrew T. Campbell November 5, 2008 Agenda 2008 Stats. What s the Steering Committee s job? Submission policy Where is SenSys going? SenSys 2009 General Chair: David Culler,

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Wireless Metrology in Semiconductor Manufacturing

Wireless Metrology in Semiconductor Manufacturing 1 Wireless Metrology in Semiconductor Manufacturing Costas J. Spanos Seminar 2 Outline Historical perspective Hardware and software applications Breakthroughs that have yet to be realized Distributed control

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

A 501 (C) (3) Non-Profit Organization Copyright 2017 Multiversal Journeys

A 501 (C) (3) Non-Profit Organization   Copyright 2017 Multiversal Journeys A 501 (C) (3) Non-Profit Organization http://www.mvjs.org Corporate Background Founded in 2006 to advance research & communications in the field of Theoretical Physics & Cosmology. Multiversal Journeys

More information