Single Chip CMOS Transmitter for UWB Impulse Radar Applications

Size: px
Start display at page:

Download "Single Chip CMOS Transmitter for UWB Impulse Radar Applications"

Transcription

1 A Thesis for the Degree of Master Single Chip CMOS Transmitter for UWB Impulse Radar Applications Chang Shu School of Engineering Information and Communications University 2009

2 Single Chip CMOS Transmitter for UWB Impulse Radar Applications

3 Single Chip CMOS Transmitter for UWB Impulse Radar Applications Advisor: Professor Sang-Gug Lee by Chang Shu School of Engineering Information and Communications University A thesis submitted to the faculty of Information and Communications University in partial fulfillment of the requirements for the degree of Master of Science in the School of Engineering Daejeon, Korea Dec. 1 st, 2008 Approved by (Signed) Professor Sang-Gug Lee Major Advisor

4 Single Chip CMOS Transmitter for UWB Impulse Radar Applications Chang Shu We certify that this work has passed the scholastic standards requested by the Information and Communications University as a thesis for the degree of Master December 1 st, 2008 Approved: Chairman of the Committee Sang-Gug Lee, Professor School of Engineering Committee Member Hyung-Joun Yoo, Professor School of Engineering Committee Member Seung-Tak Ryu, Assistant Professor School of Engineering

5 M.S Chang Shu Single Chip CMOS Transmitter for UWB Impulse Radar Applications School of Engineering. 2008, 63p. Major Advisor: Professor. Sang-Gug Lee. Text in English Abstract Ultra-Wide Band (UWB) technology has been studied intensively these years. And transmitter plays an important role in the UWB transceiver system since it has the function of generating the UWB impulses which is used to transmit the information through propagation channels. Among the vast applications of UWB technology, UWB radar is a hot spot since it is widely used in the detection of moving object in the field of radar. This thesis presents the design of an on chip transmitter for Ultra- Wideband (UWB) Impulse Radar application. Short pulses with duration of 4.5 ns and bandwidth of about 500 MHz are generated by up-converting the triangular shaped envelope to the center frequency by a specially designed energy efficient mixer. In this UWB Impulse Radar Project, two versions of transmitter test pattern chips were designed, fabricated, and measured. Both versions of the transmitters can work at pulse repetition frequency of over 1 MHz with over i

6 -2 dbm output power at 50 ohm load. Output pulse spectrum centered at 4.3 GHz can fit FCC spectrum mask with a side-lobe suppression of 20 db. Designed in 0.18-µm CMOS technology, the two circuits have a chip area of 0.45 mm 1.2 mm. The details of architecture decision and design process are discussed in this thesis. The improvement of second chip is also analyzed comparing with first version chip. ii

7 Contents Abstract... i Contents...iii I. Introduction UWB Definition and UWB Radar UWB FCC Mask and Regulations Structure of the Thesis... 9 II. UWB System Architectures Several reported UWB system Architectures Sampling architecture Non-Coherent Architecture Coherent Architecture UWB architecture used in this Project III. UWB Transmitter and Pulse Generator Pulse Shape Decision and Analysis System Requirement System Architecture of TX IV. UWB Transmitter Circuit Structure Charge Pump Mixer Single to Differential Converter Ring Oscillator Drive Amplifier (DA) Single to Differential Converter iii

8 4.7 Amplifier for Template Pulse V. Simulation Result of Transmitter and Layout Simulation Result Overall simulation result Layout of TX part and test block VI. Measurement Results First Version Transmitter measurement Second Version Transmitter measurement VII. Conclusions References Acknowledgement Curriculum Vitae iv

9 Lists of Tables Table I. The comparisons between the architectures in the following Table II. Summary of different shape window Table III. System specifications Table IV. Summary of Post-Simulation in Version I chip Table V. Summary of Post-Simulation in Version II chip Table VI. Simulation and Measurement of first chip Table VII. Simulation and Measurement of second chip Table VIII. Comparison of UWB transmitter v

10 Lists of Figures Fig. 1 Conventional Integrated Narrowband Transceiver... 4 Fig. 2 UWB digitally Radio... 4 Fig. 3 Waveform of pulse train used in UWB Radar... 6 Fig. 4 UWB spectrum mask and FCC part15 limit... 7 Fig. 5 UWB spectrum mask of Korea... 8 Fig. 6 Sampling architecture UWB transceiver Fig. 7 Time-interleaved ADC in UWB receiver Fig. 8 Direct Sampling UWB Transceiver [8] Fig. 9 Direct Sampling UWB Transceiver [9] Fig. 10 Non-coherent UWB Transceiver [11] Fig. 11 Non-coherent UWB Transceiver [12] Fig. 12 Coherent transceiver used for ranging and communication [13] Fig. 13 UWB Impulse Radar Transceiver Fig. 14 Burst-mode Pulse Generator [2] Fig. 15 The operation principle of carrier based UWB Fig. 16 Gaussian shape envelope and frequency spectrum Fig. 17 Triangular shape envelope and frequency spectrum Fig. 18 Comparison of several functions characteristics Fig. 19 Architecture of this transmitter Fig. 20 Architecture of this transmitter in Transceiver System Fig. 21 Charge Pump input clock, circuit and its output Fig. 22 Charge Pump Output vi

11 Fig. 23 Circuit of Mixer Proposed Fig. 24 Output of Mixer Fig. 25 With added switching pair, the outputs are in phase Fig. 26 Without added switching pair, the outputs are differential Fig. 27 Differential to single converter Fig. 28 Ring Oscillator circuit Fig. 29 Oscillator Output Fig. 30 Drive Amplifier Circuit Fig. 31 Single to Differential Converter Fig. 32 Template Amplifier Fig. 33 Output of Drive Amplifier and its frequency spectrum Fig. 34 Template Waveform Fig. 35 Frequency Spectrum of Template Fig. 36 Layout of TX Fig. 37 Layout of TX Fig. 38 Die Photo of TX first version Fig. 39 Die Photo of TX second version Fig. 40 Measured pulse time domain shape of first version chip Fig. 41 Measured pulse frequency spectrum of first version chip Fig. 42 Measured DA output of second version TX chip Fig. 43 Template output of second version TX chip (with buffer) vii

12 I. Introduction Ultra-Wide Band (UWB) technology has been a hot topic for a long time since 1960s when a series of sample and hold receivers emerged and were imported to UWB applications. Pulse generation, compression and correlation and matched filter method has been developed since 1950s by research centers. First UWB system for communication was built in 1970s and it can also be used for Radar application [1]. And since late 1980s, Time Domain Company was founded and has developed the first UWB chip used in wireless communication, radar, and sensors. And in the new millennium the well-known UC-Berkeley team has developed digitally UWB chipset based on sampling after front-end amplifiers (FEA). UWB system also evolutes from on/off keying [2] or pulse positioning modulation to more complicate higher order modulations, such as BPSK and even FSK [3]. Several pulse-based modulation schemes are found in literature such as Pulse Amplitude Modulation (PAM), On Off Keying (OOK), Pulse-Position Modulation (PPM) or Bit-Position Modulation (BPM), Binary Phase-Shift Keying (BPSK). However, due to the system of the UWB impulse radar, there is no modulation involved in the transmitter part. The radar only needs to measure the reflected pulses, so the important issue is the quality of the pulse shape in time domain. And also, because of FCC regulation, in the frequency domain, the FCC mask of Korea must be met as well. 1

13 It is somewhat interesting to look back and have some intuition of the pulsed communication method and what is now called Ultra-Wide Band. Although it seems like UWB has a short history comparing with other kind of communication technology, it was actually used one hundred years ago when the sparks were generated by Michael Faraday when he did the famous experiment of discharging capacitor (transmitting pulse) and generating sparks in another inductor (receiving the pulse). It is obvious that the sparks are generated by manually turn on/off the switches which trigger the generation of sharp pulses and this is similar with the phenomenon of lightning which, of course, has the voltage amplitude of millions of volts. 2

14 1.1 UWB Definition and UWB Radar The UWB Signal Definition is: Fractional bandwidth is greater than 20% of the center frequency, or the -10dB bandwidth occupies 500 MHz or more of spectrum. The intrinsic advantages of UWB can be seen from the famous Shannon equation: C BW [log 2 (1 SNR)] (1) Where: C = Channel Capacity (bits/sec) BW= Channel Bandwidth (Hz) SNR= Signal to Noise Ratio We can see that the channel capacity is proportional to the bandwidth and thus, UWB has the potential to provide high data rate at moderate SNR. For applications which face relatively large interferences or lower SNA, the UWB can still provide enough data rate. This is the fundamental advantage of UWB. The other advantages of UWB including: large bandwidth potentially provides higher data transmission capability; resistance to interference such as multi-path fading and noise; low EM environment pollution and precise time resolution ability suitable for precise ranging and timing [4]. Due to these tempting characteristics UWB technology has been greatly used in RFIC tag [5], sensor networks, high data rate transceiver, etc. From the system level comparison, UWB system has its own advantage of 3

15 simplicity, and low cost which can be seen from the comparison of Fig. 1 and Fig. 2. As the conventional narrowband transceiver needs mixer part and PLL whereas in UWB system these parts can be omitted. Fig. 1 Conventional Integrated Narrowband Transceiver. Fig. 2 UWB digitally Radio. As for the UWB Radar, which is one of the main application of UWB technology, because of the intrinsic characteristic of UWB signal, this kind of Radar has its advantages over other kind of radar. Such as: penetration of absorbers because of molecular resonances; resistant to multi-path; low probability of intercept (LPI), and resistance to jamming, because short 4

16 pulse implies narrow range gates and therefore not susceptible to continuous wave (CW) jamming. UWB radars have become popular in recent years and have a vast application in civil engineering and industry, such as family surveillance, medical instrument, detecting living people buried under debris, movement sensors, microwave imaging, collision avoidance [4]. There are several types of UWB radar such as ground or wall penetration radar, UWB synthesis aperture radar (SAR), medical imaging radar, UWB ranging radar [6]. All of these UWB radars are based on the radar principle. For the positioning using UWB radar, it is straightforward and the distance can be measured by calculating the time delay during transmission. Although the principle of detection is almost same among various reported radars: finding the reflected pulse and compare the time delayed between the transmitted and received pulses. The operation of UWB Radar is like this. Send a pulse train (Fig. 3) from the transmitter and after the pulse reflected back from the target, the receiver detects the pulse by amplifying, correlating and digital sampling. 5

17 Fig. 3 Waveform of pulse train used in UWB Radar. 6

18 1.2 UWB FCC Mask and Regulations Due to the FCC rule, a large slot of unlicensed band from 3.1 GHz~10.6 GHz is given. This band is suitable for the UWB radar application which requires wide bandwidth. According to the low spectrum power density of this FCC mask part 15 limits (Fig. 4), the WLAN application occupies the band between 5 GHz and 6 GHz and the band above 6 GHz are reserved for future usage. But for Korean domestic FCC rule, the FCC mask is more stringent, which is shown in Fig. 5. Fig. 4 UWB spectrum mask and FCC part15 limit. 7

19 Fig. 5 UWB spectrum mask of Korea. From our design requirement based on both FCC rule of Korea and part 15 limit, we decided to use the bandwidth between 3.6 ~ 5.15 GHz, with sidelobe rejection of 20 db. Since the band between 3.1 GHz and 3.4 GHz and above 5.15 GHz are reserved by Korean domestic FCC regulation. We can see that the power spectrum density for the UWB pulse should be below dbm/mhz between 3.6 ~ 5.15 GHz, and below -69 dbm/mhz at the border of the selected band. However, in the really application this is not easy to realize, since the side-lobe rejection would be about 30 db in this case. Thus, we decide the pulse spectrum density should be below -65 dbm/mhz, which corresponds to side-lobe rejection of about 25 db. This FCC mask is very important for the choosing of architecture and system specifications which will be discussed later. 8

20 1.3 Structure of the Thesis This thesis is written in the following structure. In Section II, we propose the UWB system architectures and decide the system we are using for this UWB radar system. In Section III, we propose various types of UWB transmitter architecture and introduce the core block of the UWB transmitter pulse generator (PG). In Section IV, the proposed transmitter individual architecture and its pulse generator are introduced in operation principle. In Section V, we present the circuits design of transmitter individual blocks including simulation result of each block. In Section VI, measurement results are presented and discussed for two versions of chips. Final conclusion is in Section VII. 9

21 II. UWB System Architectures FCC rule has given nearly 7.5 GHz (from 3.1 GHz to 10.6 GHz) of unlicensed band for the indoor and outdoor application to UWB. Such wide bandwidth makes various design of UWB system possible based on different applications. For communication purpose oriented UWB, wider bandwidth makes very high data rate possible, but that also requires transmitter and especially the UWB receiver hardware to be fast enough to deal with such high data rate (even as high as multi-gb/s). Several architectures are introduced these years. And they can be put into several categories: the first category is using high speed sampling method, another way is using frequency domain processing method to relieve the sampling speed requirement, and another category is using more analog processing by coherent scheme and non-coherent scheme. For radar applications, analog correlation method is always used since analog correlation is suitable for the ranging detection since the pulse has the wider pulse width which is suitable for detection. And such architectures will be introduced in detail in the following parts. 10

22 2.1 Several reported UWB system Architectures From literature study, we categorize the UWB system to the following three kinds of architectures: sampling architecture, non-coherent architecture, and coherent architecture. The first two architectures are widely used in UWB communications and the last architecture are used for communication with good timing ability thus that one is suitable for Radar application. And direct sampling method can be aided with band-selected frequency domain processing. These architectures are briefly introduced for the understanding of this project s system architecture and its advantages. And their characteristics are summarized in Table I. Table I. The comparisons between the architectures in the following Architectures System Hardware Power Timing Complexity requirement Consumption accuracy Direct Sampling High Very high Very high Not good Non-coherent Low Low Low Good Coherent Moderate Moderate Moderate Very good 11

23 2.1.1 Sampling architecture Sampling architecture has been reported as Soft-ware Defined Radio for the receiver architectures because the front-end part is just a LNA and amplifier with no other analog blocks, and a high speed ADC directly samples the amplified signal and gives it to DSP for processing. The advantage of this architecture is the ability to reconfigurate by changing DSP part only with software, thus it can receiver any kind of modulation with the same hardware, which is the future of UWB and any kind of application needs high data rate. Fig. 6 basic shows this architecture and Fig. 7 shows the detailed part of ADC block since this is the core part of the receiver. This ADC is using interleaved technique and can do very high speed sampling with less power consumption [7]. Fig. 6 Sampling architecture UWB transceiver. 12

24 Fig. 7 Time-interleaved ADC in UWB receiver. Here we present two examples of UWB transceivers using this direct sampling architecture. The first one is from UC-Berkeley team, the UWB transceiver is shown in Fig. 8. It is using the time interleaved sampling method and thus lowers the power consumption greatly. The system complexity lies in the clock generation and ADC part. The second example is using this similar time-interleaved sampling method and can achieve 20 GB/s sampling rate. The digital FPGA is a bottleneck is this case since a large amount of data needs to be processed. 13

25 Fig. 8 Direct Sampling UWB Transceiver [8]. Fig. 9 Direct Sampling UWB Transceiver [9]. 14

26 2.1.2 Non-Coherent Architecture The operation principle of non-coherent architecture is like this: in the receiver part, the incoming UWB pulse signal multiples with it self thus producing an low frequency (near DC) signal, and this near DC signal can be processed using analog blocks such as VGA and LPF and then fed into sampling part. Since non-coherent system uses no synchronization between transmitter and receiver, thus reduces complexity [10, 11]. Fig. 10 Non-coherent UWB Transceiver [11]. 15

27 2.1.3 Coherent Architecture Coherent system uses precise timing method such as delay lock loop (DLL) to cope with the timing between the transmitter and receiver (synchronization). It is more complex than its non-coherent counterpart but can achieve higher data rate [12, 13]. For radar receiver, we can use both of two schemes, but coherent scheme have better timing precision than the Non-coherent architecture. Fig. 11 Non-coherent UWB Transceiver [12]. 16

28 Fig. 12 Coherent transceiver used for ranging and communication [13]. 17

29 2.2 UWB architecture used in this Project The basic architecture of UWB Radar is shown below, and this presentation is about the transmitter (TX) part. Our whole radar system is shown below which uses coherent scheme, and this work is a transmitter which consists of the pulse generator and a drive amplifier (DA). The pulse generator has two functions, one is to provide template for the correlator in the receiver, and the other function is the transmitter: generate pulses to the antenna. We will have a detailed discussion about the TX part of this architecture shown in Fig. 13. Fig. 13 UWB Impulse Radar Transceiver. 18

30 III. UWB Transmitter and Pulse Generator From the architecture shown in Fig. 13, we can see that the main block of the transmitter is the pulse generator (PG), thus we first introduce kinds of PG so far and do the discussion and design own architecture. There are many types of pulse generators so far, the earliest pulse generator uses step recovery diode (not integrated), and other use analog or digital method. Analog method focus on the generation of Gaussian shaped pulses because it has good transfer ability and not much distorted during transmission. According to the bandwidth requirement, several order of derivative of Gaussian pulse is used, because higher derivative of Gaussian pulse gives narrower bandwidth [14]. And these pulse generators with high order of over seven derivatives usually have a much larger bandwidth comparing with our 500 MHz target (barely meet the FCC mask). In our system this derivative method is not suitable since we would need very high order (nearly 30) of derivatives of Gaussian pulse. For the digital generation methods, one way is to use the pulse pattern generation method which combines many single pulses to generate desired pulse shape [15]. But the reported digitally controlled pulse generator operates in a bandwidth of over 1 GHz and the pulse width is much smaller than 4ns so it is easier to combine several edges into one pulse. Although the digital transmitter is attractive in novelty, it needs about 32 edge combination cells to combine into a single pulse which is 4 ns and center frequency is 4 GHz (similar to 19

31 our transmitter target), and the circuit is too complicated and power consuming and hard to adjust each cell. Another way for digitally generation of pulse reported in [16], which uses very complicated architecture of using VGA to amplify each edge coming from a register stack at different channel, and using integrator to sum up the edge to form a single pulse. This approach is also not suitable for our target, because we would need many channels since we have about 30 edges for each pulse. Another simple and intuitive way to generate a short high frequency pulse is to using burst mode oscillator. By turn on and off the oscillator using gate duration, the pulse which has center frequency of oscillator can be generated [2, 17]. However, in [17] the gated pulse has the shape of rectangle and has a high sidelobe power in spectrum which has to be filtered out, thus increasing the system complexity and chip size. In [2], the author uses a modified way to control the pulse and can achieve a side lobe rejection of over 20 db by using a burst mode LC oscillator. But the shape of pulse is fixed and not optimal, and LC oscillator occupies large chip area. The circuit and output is shown below in Fig

32 Fig. 14 Burst-mode Pulse Generator [2]. What we present here is a carrier based modulated UWB pulse generator, which uses a triangular shaped envelope signal to modulate the ring oscillator s center frequency. Comparing with [18], which also uses a triangular signal modulating with a frequency generated by PLL, this transmitter has simplified the circuits of each individual block and proposes a novel way of up converting the envelope to oscillator frequency. The operation principle is illustrated in Fig. 15. The triangle envelope which has a sidelobe rejection of over 20 db is up-converted to the center frequency (LO). There are several other papers using this scheme, but the envelopes they used to modulate center frequency are different [19, 20]. In [19], a Gaussian shaped envelope is used, but it is hard to generate a real Gaussian envelope and the result turn out to be very different from the ideal Gaussian shape, thus the side-lobe rejection is not good. In [20], a tanh shaped pulse 21

33 is generated as envelope, but the result is similar to the triangular shaped envelope, although in theory the tanh does have better sidelobe rejection ability. So, considering the system requirement and circuit complexity and performance trade off, we decide to use the triangular shaped envelope and use the carrier based UWB architecture, the operation principle of which is shown in Fig. 15. And the detail discussion of pulse envelope selection is in the following Chapter 3.1. Fig. 15 The operation principle of carrier based UWB. 22

34 3.1 Pulse Shape Decision and Analysis From literature study of transmitter, we find that the pulse which has a shape of Gaussian can provide the best side-lobe rejection [20]. The time domain function for Gaussian pulse is like below, and has the time domain shape of Fig. 16, and the pulse has the envelope of Gaussian is our target. V Gauss p 2 t 2 2 V e. (2) Fig. 16 Gaussian shape envelope and frequency spectrum. From [20], the frequency spectrum side-lobe rejection can be over 45 dbr, which can fit our transmitter FCC mask. So, we decide to generate a pulse which has the envelope similar to that of Gaussian pulse. In this TX, we use the triangular pulse as the envelope, which is shown in Fig

35 Fig. 17 Triangular shape envelope and frequency spectrum. We can see that the side lobe rejection can be about nearly 30 dbr. Because of the nonlinearity of the system operation, the triangular wave will be look like a near Gaussian shape, so it can meet our target. What s below is the comparison of time domain and frequency domain characteristic of several common functions as envelope. We can see that the triangular envelope can meet our target and can be realized by circuit easily. The summary of most of the pulse envelope is in Table. II, we choose the triangular shape which can provide over 25 db of side-lobe suppression capability. 24

36 Fig. 18 Comparison of several functions characteristics. Table II. Summary of different shape window Window type Sidelobe (dbc) Blackman -57 Hamming -41 Hann -31 Rectangular -13 Triangular -25 Half-sine -22 Gaussian

37 3.2 System Requirement From system link budget of the UWB-IR, the specification of the TX is shown in Table III. Table III. System Specifications Parameters TX output Template output Center frequency Side lobe rejection bandwidth Pulse width Value 0 dbm 800 mv 4.30 GHz 25 db 500 MHz about 4 ns And also, the Radar system in our project requires the TX part to provide the template pulse for the receiver part. So, a template of 800 mv is required also, which has the similar characteristic as the TX output. 26

38 3.3 System Architecture of TX The architecture of our TX is shown below (Fig. 19). The clock control the generation of triangular envelope, and the triangular envelope which has a bandwidth of 500 MHz is up-converted to our center frequency (4.30 GHz) by the Mixer; a differential to single converter is needed to get the triangular pulse from a differential output of the mixer. And the pulse is fed to the drive amplifier and an external PA for the Antenna; the pulse is also sent into another branch same as the upper part, which also fed into the single to differential converter for providing the template for the receiver. Fig. 19 Architecture of this transmitter. The TX part in the whole system has two functions as we have discussed in Chapter II, one is to provide pulse to the antenna, and the other is to provide template for the coherent receiver. Thus, the whole architecture of TX part in this UWB transceiver is shown in Fig

39 Fig. 20 Architecture of this transmitter in Transceiver System. 28

40 IV. UWB Transmitter Circuit Structure We use the carrier based modulated UWB scheme for this transmitter shown in Fig. 15. Same scheme is also used before by [18, 19, 20], but the triangular envelope used in this transmitter is different from [18, 19], and the circuits to realize each block are much different from [18]. The core of this transmitter is the pulse generator, which consists of envelope generator, upconverting mixer, and ring oscillator. As the output of mixer is differential, a differential to single converter is also applied using a traditional current mirror topology. Finally, the modulated single-ended pulse is amplified by a two-stage drive amplifier which is matched with 50 ohm load and can provide -2 dbm output powers. The goal of this transmitter is to generate high amplitude (over 500 mv) pulse which has bandwidth of about 500 MHz and sidelobe rejection of over 20 db. Because we are using the 3.1 GHz to 5.15 GHz band, which make our center frequency set to be 4.3 GHz, in order to avoid the interference domestic regulation of Korea also. And as a part of radar system, the power consumption should be small, using 1.8-V supply voltage. 29

41 4.1 Charge Pump The function of the charge pump is to generate a triangular shaped envelope, which is used to modulate the carrier frequency to generate the desired spectrum mask. Similar approach has been used in [18] and [20], but in [18], the triangular shaped envelope is generated by a more complicated circuit. And [20] use external triangular signal. The idea of using charge pump to generate triangular comes from the edge combination method which is used to form pulse using digital way in [15], but in [15] they did not use this carrier based UWB and use edge combination approach instead. The merit for this approach of generate triangular signal is that it can generate high amplitude envelope with little power consumption. In Fig. 21, schematic of charge pump and its output is shown. The two input clocks: CLK_N and CLK_P are generated using DTG 5334 data timing generator for this transmitter test chip, while it is generated by the delay line for the whole UWB radar system. By the tuning of bias for M1 and M4, the capacitor which is about 2-pF will be charged when CLK_P is low and discharged when CLK_N is high. If the current of charging and discharging is same, the output of charge pump would generate a triangular signal with a width of designed value 5.5 ns, and the amplitude is about 0.7 V with an offset of 450 mv. This envelope will be directly connected with the mixer. The output of this CP is shown in Fig

42 Fig. 21 Charge Pump input clock, circuit and its output of triangular envelope. Fig. 22 Charge Pump Output. 31

43 4.2 Mixer Mixer block is specially designed for this transmitter. It is basically designed out of a single balance mixer with an added switch pair. As we know, single-balanced mixer is always used in the receiver part, and for a transmitter which operate at 4.3 GHz center frequency, if we use single balanced mixer as the up-conversion mixer, there would be large LO leakage to the output, because the single balance mixer does not have the LO suppression ability which double balanced mixer has. But here we still could use a modified version of single balanced mixer to up-convert the envelope, because this transmitter has some special characteristics. The input IF, which is the triangular envelope generated by charge pump, has a big amplitude and small time duration. Using this characteristic, a novel mixer idea is implemented in this transmitter: we add another differential switch pair to the single balanced mixer, as shown in Fig. 23. Because the input envelope has high amplitude and the offset is as small as 450 mv, this mixer has modulated pulse output only when there is a big envelope coming in. For the rest of time, it does not have DC current since the Gm stage is biased at such low voltage (same with offset). Thus when there is no DC current, this mixer is the same as a double passive balanced mixer and with no DC current consumption, and thus it has LO suppression function. And the merit here is that we do not need to add DC current source for the added switching pair, which is the novelty of this up-converting mixer. The output is shown in Fig. 32

44 24 which consists of two differential output of the mixer. As we can see, when there is no pulse envelope coming into input, the two differential output is in phase (Fig. 25), which means it works like a double balanced passive mixer with LO suppression ability. But in the contrast, without this switching pair (single-balanced up-conversion mixer), the two different output is differential as it should be (Fig. 26). The simplest way to explain this LO suppression functioning is to consider this mixer as an open circuit at both pairs tail current source when there is no input envelope, thus of course Out+ and Out- are symmetrical and identical or in phase as the load is same for the differential outputs which is exactly like double balanced passive mixer. When we take the output of mixer differentially, they cancel each other. And when there is big envelope coming in, the envelope is modulated and we have differential output which can be converted to single ended pulse with a triangular shaped envelope. Thus we need a differential to single converter after this mixer. 33

45 Fig. 23 Circuit of Mixer Proposed. Fig. 24 Output of Mixer. 34

46 Fig. 25 With added switching pair, the outputs are in phase. Fig. 26 Without added switching pair, the outputs are differential. 35

47 4.3 Single to Differential Converter The role of differential to single converter is to make the differential output of mixer into single-ended. As we can see that the output of the mixer is like V shaped, that is because of the triangular shape of envelope shown in Fig. 23 is modulated by the LO signal which comes from the ring oscillator. In order to turn them into a symmetrical pulse like Fig. 15, a subtract function circuit is needed. We can use an on chip transformer to do this function, but it is not easy to integrate and consumes big chip area. Here, we decide to use the simple topology of differential to single converter [21], which is shown in Fig. 27. The PMOS transistors are used at the input because of the input in Fig. 27 has the shape of V, and the NMOS transistors are used as at the current mirror thus the phase delay of this subtract circuit can be minimized. All of the transistors are using the minimum size in order to reduce the phase delay during subtract process and make this to be nearly an ideal subtraction circuit. 36

48 Fig. 27 Differential to single converter. 37

49 4.4 Ring Oscillator A three-stage ring oscillator is designed using the typical circuit topology [22]. Because there-stage ring oscillator has smaller stage delay, we can achieve high oscillation frequency without consume much current. The circuit is shown in Fig. 28. This type of oscillator oscillate at lower frequency when the bias is smaller, thus the current to charging and discharging the capacitance of transistors is smaller, which lead to longer stage delay, thus lower oscillation frequency. Current consumption of this ring oscillator is about 5 ma using 1.8 V supply voltage and the layout area is less than 0.01 mm^2. The tuning range of oscillation frequency is from 3.7 GHz to 6.7 GHz from measurement result by changing the bias of tail current source. And the time transient response is shown in Fig. 29 with the frequency spectrum. 38

50 Fig. 28 Ring Oscillator circuit. Fig. 29 Oscillator Output. 39

51 4.5 Drive Amplifier (DA) In order to amplify the pulse from the output of differential to single converter and get -3 dbm output power which is matched to 50 ohm, a twostage drive amplifier is designed. The topology of drive amplifier is using very popular cascode topology at each stage. The first stage of the drive amplifier can be turned off by disable the CG stage transistor using a clock. The clock goes through two inverters to control the bias of CG stage and these inverters act as a buffer to increase the loading ability of the clock. The reason to turn it off is for the whole radar system application in the future. In this test pattern, we enable it all the time. The gain of two-stage DA is about 13 db, and consumes 7 ma DC current from simulation and it has an IIP3 of 6 dbm when the input is using 125 ohm signal source which is equal to the output impedance of the block before DA. The total circuit is shown in Fig

52 DA turn on/off control Output matched 50ohm Input Bonding wire Fig. 30 Drive Amplifier Circuit. 41

53 4.6 Single to Differential Converter From the system architecture of the TX, we can see that the output of the differential to single converter also goes to another branch besides the Drive Amplifier. The function of this branch is to provide the Pulse Template to the receiver, and it will be given to the correlator (which is a multiplier) in the receiver. We use the simple CS topology to get the two differential output. The topology is shown in Fig. 31. Fig. 31 Single to Differential Converter. 42

54 4.7 Amplifier for Template Pulse After the Single to Differential converter, we get the differential template, and since the receiver need about 800 mv of each template, we need an Amplifier for the template. Here we use the differential amplifier for the differential template. The interface issue between the correlator and this amplifier is a problem. We manage to use the inductor peaking for high output template swing. Thus the inductor resonate with the receiver correlator input capacitance at our center frequency for inductor peaking. The size of the inductor is about 3.5 nh. The current consumption of this Amplifier is about 3.3 ma at 1.8 V supply voltage. From system level simulation we get the differential template each is 800 mv peak to peak. The circuit topology is shown in Fig. 32. Fig. 32 Template Amplifier. 43

55 V. Simulation Result of Transmitter and Layout 5.1 Simulation Result Fig. 33 is the output of drive amplifier from simulation of first chip. The output pulse has about 700 mv peak-peak-voltage at 50 ohm load, which is about 0 dbm. The side lobe rejection is about 29 db, which is between the 25 db and 45 db and it shows that the system architecture is reasonable. The bandwidth of the pulse is about 500 MHz and it can be tuned by the envelope of Charge Pump. Fig. 33 Output of Drive Amplifier and its frequency spectrum. 44

56 The template output for the correlator in the receiver is shown in Fig. 34. It has a near Gaussian shape so the side lobe rejection is 34 db (Fig. 35). Fig. 34 Template Waveform. Fig. 35 Frequency Spectrum of Template. 45

57 5.2 Overall simulation result The simulation result is shown in Table IV. The second version chip has different result because of some modifications in the layout and we use separated ground and voltage supply for the oscillator part. Table IV. Summary of Post-Simulation in Version I chip Table V. Summary of Post-Simulation in Version II chip Required Postsim (TT) Corner(1.8V) Para. Value unit 1.7V 1.8V 1.9V SS TT FF DA amplitude >660 mv V Bandwidth 500 MHz Side-lobe >20 db Frequency 4.35 GHz Template Vpp >800 mv V 1.7V 46

58 5.3 Layout of TX part and test block Layout of this TX chip version one is shown in Fig. 36. The size of it is about 1.4 mm^2. Layout of TX test pattern version two is shown in Fig. 37. The size of it is about 2.1 mm 0.88 mm. Fig. 36 Layout of TX. Fig. 37 Layout of TX. 47

59 VI. Measurement Results Two versions of transmitter test blocks have been fabricated in 0.18 µm CMOS technology. Fig. 38 and Fig. 39 show the die photograph of two chips. Both of chips have the active circuit area of 0.45 mm 1.2 mm for transmitter part which is small for a whole transmitter. The whole circuit is tested with 1.8 V supply. The second version chip was modified in some blocks according to the analysis of the first version chip. And from the measured wave forms, we can see some improvement of second chips. 48

60 Fig. 38 Die Photo of TX first version. Fig. 39 Die Photo of TX second version. 49

61 6.1 First Version Transmitter measurement Fig. 40 shows the measured output pulse wave form of the first version transmitter. The input signals are two clocks of charge pump generated form data timing generator DTG5334. The two clocks have 3 ns duration and delayed by 3 ns. From the measurement result of Fig. 40, we can see that the amplitude of the pulse is over 300 mv, which is -6 dbm at 50 ohm load and meets our target. The time domain pulse is symmetrical and the envelope is almost triangular shaped. The width of the pulse is about 4.5 ns, which corresponds to 460 MHz bandwidth in frequency domain. The slope of the pulse can be tuned by changing the duration and delay of clocks and by the changing of current source bias at charge pump. Here we adjust to an optimal value to let the pulse has the best shape. Fig. 41 is the measured frequency domain output of the pulse at PRF of 1 MHz. The spectrum has a sidelobe suppression of about 20 db. Total current consumption is 17 ma for the transmitter and the drive amplifier consumes 9 ma. The Ring oscillator consumes about 6 ma and differential to single converter consumes 2 ma. The mixer does not consume static DC power as we designed. For a whole transmitter, the power consumption of 30.6 ma at this output power is acceptable for impulse radar. From the test, we find that the PRF of this transmitter can increase up to 50

62 100 MHz, thus makes it also capable of communication purpose application using modulation of PPM or OOK. In this case we do not need the drive amplifier and external power amplifier which are needed for radar application. Table below summarizes the transmitter s performances. The comparison between the simulation and measurement is shown in Table VI. Fig. 40 Measured pulse time domain shape of first version chip. 51

63 Fig. 41 Measured pulse frequency spectrum of first version chip. Table VI. Simulation and Measurement of first chip Simulation Measurement DA power 660 mv (0 dbm) 330~540 mv (-6 ~ -2 dbm) Template amplitude 280 mv NA Center frequency 4.3 GHz 4.3 GHz Bandwidth 480 MHz 460 MHz Power Consumption 30.6 mw 30.6 mw Sidelobe rejection 27 db 20 db 52

64 6.2 Second Version Transmitter measurement Fig. 42 shows the measured output pulse wave form of the second version transmitter. The condition setup is same with the first version chip. From the measurement result of Fig. 42, we can see that the amplitude of the pulse is over 540 mv, which is -2 dbm at 50 ohm load and meets our target. The time domain pulse is more symmetrical which means more sidelobe suppression ability. The width of the pulse is about 4.5 ns, which corresponds to 500 MHz bandwidth in frequency domain. From this time domain figure we could see that it has improved amplitude and pulse shape comparing with the first version chip. The template amplitude is about 150 mv after the buffer, which corresponding to over 500 mv of on chip template since buffer decrease the amplitude of template a lot ( shown in Fig. 43). Table VII below shows the simulation and measurement result of second version TX chip. From the comparison with the simulation result and with the Table VI, we could see the improvement in DA output amplitude by nearly 4 db and the pulse shape improvement by comparing the time domain pulse shape and their FFT spectrum power density from Fig. 42 with Fig

65 Fig. 42 Measured DA output of second version TX chip with FFT spectrum. Fig. 43 Template output of second version TX chip (with buffer). 54

66 Table VII. Simulation and Measurement of second chip Simulation Measurement DA power 0 dbm (670mV) > -2 dbm (540 mv) Template amplitude 250 mv 170 mv Center frequency 4. 3GHz 4.3 GHz Bandwidth 480 MHz 500 MHz Power Consumption 34 mw 36 mw (without buffer) Sidelobe rejection 28 db 22 db We use the second version chip to compare with other works, and we can see that for the transmitter, this work has medium power consumption and high amplitude. And above all, this transmitter proves best pulse shape among all. The comparison is below, Table VIII. Table VIII. Comparison of UWB transmitter References Technology Amplitude Bandwidth Power [20],2006 BiCMOS.18μm 200 mv 550 MHz 31.3 mw [18],2005 CMOS 0.18μm 200 mv 528 MHz 2 mw [15],2007 CMOS 0.18μm 640 mv 1.4 GHz 29.7 mw This Work CMOS 0.18μm 540 mv 500 MHz 25.2 mw 55

67 VII. Conclusions A carrier based UWB transmitter is designed after system level discussion, comparison and analysis in Section II and III. Circuit blocks are explained in detail in Section IV. The simulation and measurement result of two version chips are shown in Section V and VI. From these works, we can see that our output is what we expected although there are some differences. The novelty of this work lies in two aspects. The first one is the system architecture in Fig. 20. The other novelty is the design of this up-conversion mixer shown in Fig. 23. Although the mixer can only be used in this typical architecture, we have some invention indeed and which is proved by simulation and measurement. 56

68 References [1] Terence W. Barrett, History of Ultra Wideband (UWB) Radar Communications: Pioneers and Innovators [2] Tuan-Anh Phan et al, A 18-pJ/Pulse OOK CMOS Transmitter for Multiband UWB Impulse Radio, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, VOL. 17, NO. 9, Sep [3] D. Barras et al., A Robust Front-End Architecture for Low-Power UWB Radio Transceivers, IEEE Trans. Microwave Theory and Techniques, vol. 54, no. 4, pp , Apr [4] Robert A. Scholtz, Ultra-Wideband Radio. [5] L. Stoica, S.Tiuraniemi, A. Rabbachin, and I. Oppermann, An ultrawideband Tag circuit transceiver architecture, in Proc. Joint Ultra- Wideband Syst. Technol. Conf., Japan, May 2004, pp [6] Time Domain Company, Ultra-Wide band Radio. [7] Payam Heydari, Design Considerations for Low-Power Ultra Wideband Receivers. [8] Ian D. O Donnell, Robert W. Brodersen, A Flexible, Low Power, DC- 1GHz Impulse-UWB Transceiver Front-end, University of California, Berkeley [9] Smaini, et al. Single-Chip CMOS Pulse Generator for UWB Systems, JSSC 2006 [10] L. Stoica, A. Rabbachin, and I. Oppermann, A low-complexity 57

69 noncoherent IR-UWB transceiver architecture with TOA estimation, IEEE Trans. Micro. Theory Tech., vol. 54, no. 4, part II, pp , April 2006 [11] Yuanjin Zheng, A Low Power Noncoherent CMOS UWB Transceiver ICs, 2005 IEEE Radio Frequency Integrated Circuits Symposium [12] Y Zheng, A CMOS Carrier-less UWB Transceiver for WPAN Applications, ISSCC2006 [13] Takahide Terada, et al. A CMOS Ultra-Wideband Impulse Radio Transceiver for 1-Mb/s Data Communications and 2.5-cm Range Finding, IEEE JOURNAL OF SOLID-STATE CIRCUITS [14] Thilo Sauter, Gaussian pulses and superluminality, J. Phys. A: Math. Gen. 35 (2002) [15] T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y.Ogata, S. Kobayashi, N. Koshizuka, and K. Sakamura, A UWB-IR transmitter with digitally controlled pulse generator, IEEE J.Solid-State Cicuits, vol. 42, no. 6, pp , Jun [16] Mi-Kyung Oh, Jae-Young Kim, and Kwang-Roh Park, Digitally- Controlled UWB Pulse Generator for IEEE a systems, IEEE 2007 [17] Y.H.Choi, Gated UWB pulse signal generation, in IEEE Joint Int.Workshop UWBST IWUWBS, May 2004, pp [18] J. Ryckaert, et al. Ultra-Wideband transmitter for low-power Wireless BodyArea Networks design and evaluation, IEEE 58

70 Trans.Circuits Syst.-Part I, vol. 52, no. 12, pp , Dec [19] Y. Zheng, Y. Zhang, and Y. Tong, A novel wireless interconnect technology using impluse radio for interchip communications, IEEE Trans. Micro. Theory Tech., vol. 54, no. 4, part II, pp , April [20] D.D. Wentzloff and A.P. Chandrakasan, Gaussian pulse generators for subbanded Ultra-Wideband transmitters, IEEE Trans. Micro. Theory Tech., vol. 54, no. 4, part II, pp , April [21] Behzad Razavi, Design of Analog CMOS Integrated Circuits. [22] Asad A. Abidi, Phase Noise and Jitter in CMOS Ring Oscillator, Journal of Solid-State Circuit, Vol.41, NO.8, August

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

A Switched VCO-based CMOS UWB Transmitter for 3-5 GHz Radar and Communication Systems

A Switched VCO-based CMOS UWB Transmitter for 3-5 GHz Radar and Communication Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.326 ISSN(Online) 2233-4866 A Switched VCO-based UWB Transmitter for

More information

Bluetooth Receiver. Ryan Rogel, Kevin Owen I. INTRODUCTION

Bluetooth Receiver. Ryan Rogel, Kevin Owen I. INTRODUCTION 1 Bluetooth Receiver Ryan Rogel, Kevin Owen Abstract A Bluetooth radio front end is developed and each block is characterized. Bits are generated in MATLAB, GFSK endcoded, and used as the input to this

More information

A CMOS Impulse Radio Ultra-Wideband Transceiver for Inter/Intra-chip Wireless Interconnection

A CMOS Impulse Radio Ultra-Wideband Transceiver for Inter/Intra-chip Wireless Interconnection Journal of Emerging Trends in Engineering and Applied Sciences (JETEAS) 3(6): 929-933 Scholarlink Research Institute Journals, 2012 (ISSN: 2141-7016) jeteas.scholarlinkresearch.org Journal of Emerging

More information

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna Zeshan Ahmad, Khaled Al-Ashmouny, Kuo-Ken Huang EECS 522 Analog Integrated Circuits (Winter 09)

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

ULTRA-WIDEBAND (UWB) is defined as a signal that occupies

ULTRA-WIDEBAND (UWB) is defined as a signal that occupies IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 4, APRIL 2006 891 A CMOS Ultra-Wideband Impulse Radio Transceiver for 1-Mb/s Data Communications and 2.5-cm Range Finding Takahide Terada, Shingo Yoshizumi,

More information

A Flexible, Low Power, DC-1GHz Impulse-UWB Transceiver Front-end

A Flexible, Low Power, DC-1GHz Impulse-UWB Transceiver Front-end A Flexible, Low Power, DC-G Impulse-UWB Transceiver Front-end Ian D. O Donnell, Robert W. Brodersen University of California, Berkeley Berkeley Wireless Research Center {ian,bwb}@eecs.berkeley.edu Abstract

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology Ch. Anandini 1, Ram Kumar 2, F. A. Talukdar 3 1,2,3 Department of Electronics & Communication Engineering,

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

Ultra Wideband Transceiver Design

Ultra Wideband Transceiver Design Ultra Wideband Transceiver Design By: Wafula Wanjala George For: Bachelor Of Science In Electrical & Electronic Engineering University Of Nairobi SUPERVISOR: Dr. Vitalice Oduol EXAMINER: Dr. M.K. Gakuru

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

A Novel Sine Wave Based UWB Pulse Generator Design for Single/Multi-User Systems

A Novel Sine Wave Based UWB Pulse Generator Design for Single/Multi-User Systems Research Journal of Applied Sciences, Engineering and Technology 4(23): 5243-5247, 2012 ISSN: 2040-7467 Maxwell Scientific Organization, 2012 Submitted: May 04, 2012 Accepted: May 22, 2012 Published: December

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

ULTRA WIDE BAND(UWB) Embedded Systems Programming

ULTRA WIDE BAND(UWB) Embedded Systems Programming ULTRA WIDE BAND(UWB) Embedded Systems Programming N.Rushi (200601083) Bhargav U.L.N (200601240) OUTLINE : What is UWB? Why UWB? Definition of UWB. Architecture and Spectrum Distribution. UWB vstraditional

More information

Design technique of broadband CMOS LNA for DC 11 GHz SDR

Design technique of broadband CMOS LNA for DC 11 GHz SDR Design technique of broadband CMOS LNA for DC 11 GHz SDR Anh Tuan Phan a) and Ronan Farrell Institute of Microelectronics and Wireless Systems, National University of Ireland Maynooth, Maynooth,Co. Kildare,

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication Pran Kanai Saha, Nobuo Sasaki and Takamaro Kikkawa Research Center For Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama,

More information

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs Murat Demirkan* Solid-State Circuits Research Laboratory University of California, Davis *Now with Agilent Technologies, Santa Clara, CA 03/20/2008

More information

UWB Hardware Issues, Trends, Challenges, and Successes

UWB Hardware Issues, Trends, Challenges, and Successes UWB Hardware Issues, Trends, Challenges, and Successes Larry Larson larson@ece.ucsd.edu Center for Wireless Communications 1 UWB Motivation Ultra-Wideband Large bandwidth (3.1GHz-1.6GHz) Power spectrum

More information

A Differential K-Band UWB Transmitter for Short Range Radar Application with Continuous Running Local Oscillator

A Differential K-Band UWB Transmitter for Short Range Radar Application with Continuous Running Local Oscillator Progress In Electromagnetics Research C, Vol. 5, 1 9, 214 A Differential K-Band UWB Transmitter for Short Range Radar Application with Continuous Running Local Oscillator Kristian G. Kjelgård * and Tor

More information

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM Progress In Electromagnetics Research C, Vol. 9, 25 34, 2009 DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM S.-K. Wong and F. Kung Faculty of Engineering Multimedia University

More information

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks Minjoo Yoo / Jaehyuk Choi / Ming hao Wang April. 13 th. 2009 Contents Introduction Circuit Description

More information

RF/IF Terminology and Specs

RF/IF Terminology and Specs RF/IF Terminology and Specs Contributors: Brad Brannon John Greichen Leo McHugh Eamon Nash Eberhard Brunner 1 Terminology LNA - Low-Noise Amplifier. A specialized amplifier to boost the very small received

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

CMOS LNA Design for Ultra Wide Band - Review

CMOS LNA Design for Ultra Wide Band - Review International Journal of Innovation and Scientific Research ISSN 235-804 Vol. No. 2 Nov. 204, pp. 356-362 204 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/ CMOS LNA

More information

RF CMOS 0.5 µm Low Noise Amplifier and Mixer Design

RF CMOS 0.5 µm Low Noise Amplifier and Mixer Design RF CMOS 0.5 µm Low Noise Amplifier and Mixer Design By VIKRAM JAYARAM, B.Tech Signal Processing and Communication Group & UMESH UTHAMAN, B.E Nanomil FINAL PROJECT Presented to Dr.Tim S Yao of Department

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d Applied Mechanics and Materials Online: 2013-06-27 ISSN: 1662-7482, Vol. 329, pp 416-420 doi:10.4028/www.scientific.net/amm.329.416 2013 Trans Tech Publications, Switzerland A low-if 2.4 GHz Integrated

More information

print close Related Low-Cost UWB Source Low-Cost Mixers Build On LTCC Reliability LTCC Launches Miniature, Wideband, Low-Cost Mixers

print close Related Low-Cost UWB Source Low-Cost Mixers Build On LTCC Reliability LTCC Launches Miniature, Wideband, Low-Cost Mixers print close Design A Simple, Low-Cost UWB Source Microwaves and RF Yeap Yean Wei Fri, 2006-12-15 (All day) Using an inexpensive commercial step recovery diode (SRD) and a handful of passive circuit elements,

More information

Ultra Wideband Amplifier Senior Project Proposal

Ultra Wideband Amplifier Senior Project Proposal Ultra Wideband Amplifier Senior Project Proposal Saif Anwar Sarah Kief Senior Project Fall 2007 December 4, 2007 Advisor: Dr. Prasad Shastry Department of Electrical & Computer Engineering Bradley University

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

A Low Power Interference Robust IR-UWB Transceiver SoC for WBAN Applications

A Low Power Interference Robust IR-UWB Transceiver SoC for WBAN Applications A Low Power Interference Robust IR-UWB Transceiver SoC for WBAN Applications Yuan Gao, Xin Liu, Yuanjin Zheng, Shengxi Diao, Weida Toh, Yisheng Wang, Bin Zhao, Minkyu Je and Chun-Huat Heng Abstract An

More information

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP)

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Hyemin Yang 1, Jongmoon Kim 2, Franklin Bien 3, and Jongsoo Lee 1a) 1 School of Information and Communications,

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

C th NATIONAL RADIO SCIENCE CONFERENCE (NRSC 2011) April 26 28, 2011, National Telecommunication Institute, Egypt

C th NATIONAL RADIO SCIENCE CONFERENCE (NRSC 2011) April 26 28, 2011, National Telecommunication Institute, Egypt New Trends Towards Speedy IR-UWB Techniques Marwa M.El-Gamal #1, Shawki Shaaban *2, Moustafa H. Aly #3, # College of Engineering and Technology, Arab Academy for Science & Technology & Maritime Transport

More information

(2) (3) (4) (5) (6) (7) (8)

(2) (3) (4) (5) (6) (7) (8) Design and Analysis of a High Data Rate Transceiver using Novel Pulses for IR-UWB PLAN Khalid A. S. Al-Khateeb, Muaayed F. Al-Rawi Electrical and Computer Engineering Department International Islamic University

More information

Reconfigurable and Simultaneous Dual Band Galileo/GPS Front-end Receiver in 0.13µm RFCMOS

Reconfigurable and Simultaneous Dual Band Galileo/GPS Front-end Receiver in 0.13µm RFCMOS Reconfigurable and Simultaneous Dual Band Galileo/GPS Front-end Receiver in 0.13µm RFCMOS A. Pizzarulli 1, G. Montagna 2, M. Pini 3, S. Salerno 4, N.Lofu 2 and G. Sensalari 1 (1) Fondazione Torino Wireless,

More information

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY *Yusuf Jameh Bozorg and Mohammad Jafar Taghizadeh Marvast Department of Electrical Engineering, Mehriz Branch,

More information

Fully integrated CMOS transmitter design considerations

Fully integrated CMOS transmitter design considerations Semiconductor Technology Fully integrated CMOS transmitter design considerations Traditionally, multiple IC chips are needed to build transmitters (Tx) used in wireless communications. The difficulty with

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBRUARY, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.1.084 ISSN(Online) 2233-4866 A 4-channel Time Interleaved Sampler

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

A 3 8 GHz Broadband Low Power Mixer

A 3 8 GHz Broadband Low Power Mixer PIERS ONLINE, VOL. 4, NO. 3, 8 361 A 3 8 GHz Broadband Low Power Mixer Chih-Hau Chen and Christina F. Jou Institute of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan Abstract

More information

Radio Research Directions. Behzad Razavi Communication Circuits Laboratory Electrical Engineering Department University of California, Los Angeles

Radio Research Directions. Behzad Razavi Communication Circuits Laboratory Electrical Engineering Department University of California, Los Angeles Radio Research Directions Behzad Razavi Communication Circuits Laboratory Electrical Engineering Department University of California, Los Angeles Outline Introduction Millimeter-Wave Transceivers - Applications

More information

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE by MICHAEL PETERS B.S., Kansas State University, 2009 A REPORT submitted in partial fulfillment of the requirements for the degree MASTER OF SCIENCE Department

More information

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications*

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* FA 8.2: S. Wu, B. Razavi A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* University of California, Los Angeles, CA This dual-band CMOS receiver for GSM and DCS1800 applications incorporates

More information

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique 800 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

More information

A 24-GHz Quadrature Receiver Front-end in 90-nm CMOS

A 24-GHz Quadrature Receiver Front-end in 90-nm CMOS A 24GHz Quadrature Receiver Frontend in 90nm CMOS Törmänen, Markus; Sjöland, Henrik Published in: Proc. 2009 IEEE Asia Pacific Microwave Conference Published: 20090101 Link to publication Citation for

More information

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology Xiang Yi, Chirn Chye Boon, Junyi Sun, Nan Huang and Wei Meng Lim VIRTUS, Nanyang Technological

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

Low Power CMOS Re-programmable Pulse Generator for UWB Systems

Low Power CMOS Re-programmable Pulse Generator for UWB Systems Low Power CMOS Re-programmable Pulse Generator for UWB Systems Kevin Marsden 1, Hyung-Jin Lee 1, ong Sam Ha 1, and Hyung-Soo Lee 2 1 VTVT (Virginia Tech VLSI for Telecommunications) Lab epartment of Electrical

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

RF transmitter with Cartesian feedback

RF transmitter with Cartesian feedback UNIVERSITY OF MICHIGAN EECS 522 FINAL PROJECT: RF TRANSMITTER WITH CARTESIAN FEEDBACK 1 RF transmitter with Cartesian feedback Alexandra Holbel, Fu-Pang Hsu, and Chunyang Zhai, University of Michigan Abstract

More information

Design of Single to Differential Amplifier using 180 nm CMOS Process

Design of Single to Differential Amplifier using 180 nm CMOS Process Design of Single to Differential Amplifier using 180 nm CMOS Process Bhoomi Patel 1, Amee Mankad 2 P.G. Student, Department of Electronics and Communication Engineering, Shantilal Shah Engineering College,

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Performance Analysis of Different Ultra Wideband Modulation Schemes in the Presence of Multipath

Performance Analysis of Different Ultra Wideband Modulation Schemes in the Presence of Multipath Application Note AN143 Nov 6, 23 Performance Analysis of Different Ultra Wideband Modulation Schemes in the Presence of Multipath Maurice Schiff, Chief Scientist, Elanix, Inc. Yasaman Bahreini, Consultant

More information

UWB Pulse Generation and modulation for signal extraction from implantable devices

UWB Pulse Generation and modulation for signal extraction from implantable devices XX IMEKO World Congress Metrology for Green Growth September 9 14, 2012, Busan, Republic of Korea UWB Pulse Generation and modulation for signal extraction from implantable devices Mokhaled M., Mohammed

More information

A 3 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in 0.18µ CMOS

A 3 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in 0.18µ CMOS Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November -, 6 5 A 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in.8µ

More information

Ultra Low Power Transceiver for Wireless Body Area Networks

Ultra Low Power Transceiver for Wireless Body Area Networks Ultra Low Power Transceiver for Wireless Body Area Networks Bearbeitet von Jens Masuch, Manuel Delgado-Restituto 1. Auflage 2013. Buch. viii, 122 S. Hardcover ISBN 978 3 319 00097 8 Format (B x L): 15,5

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

Project: IEEE P Working Group for Wireless Personal Area Networks N

Project: IEEE P Working Group for Wireless Personal Area Networks N Project: IEEE P802.15 Working Group for Wireless Personal Area Networks N (WPANs( WPANs) Title: [IMEC UWB PHY Proposal] Date Submitted: [4 May, 2009] Source: Dries Neirynck, Olivier Rousseaux (Stichting

More information

DESIGN OF 2.4 GHZ LOW POWER CMOS TRANSMITTER FRONT END

DESIGN OF 2.4 GHZ LOW POWER CMOS TRANSMITTER FRONT END Volume 117 No. 16 2017, 685-694 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGN OF 2.4 GHZ LOW POWER CMOS TRANSMITTER FRONT END 1 S.Manjula,

More information

A 5 GHz CMOS Low Power Down-conversion Mixer for Wireless LAN Applications

A 5 GHz CMOS Low Power Down-conversion Mixer for Wireless LAN Applications Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTES, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-, 2006 26 A 5 GHz COS Low Power Down-conversion ixer for Wireless LAN Applications

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Research in Ultra Wide Band(UWB) Wireless Communications

Research in Ultra Wide Band(UWB) Wireless Communications The IEEE Wireless Communications and Networking Conference (WCNC'2003) Panel session on Ultra-wideband (UWB) Technology Ernest N. Memorial Convention Center, New Orleans, LA USA 11:05 am - 12:30 pm, Wednesday,

More information

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998 2008/Sep/17 1 Text Book: Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998 References: (MSR) Thomas H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2/e, Cambridge University Press,

More information

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 4929 Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI APPLICATION NOTE 4929 Adapting

More information

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 46 CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 3.1 INTRODUCTION The Low Noise Amplifier (LNA) plays an important role in the receiver design. LNA serves as the first block in the RF receiver. It is a critical

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

POLITECNICO DI TORINO Repository ISTITUZIONALE

POLITECNICO DI TORINO Repository ISTITUZIONALE POLITECNICO DI TORINO Repository ISTITUZIONALE A Fully Differential Digital CMOS Pulse UWB Generator Original A Fully Differential Digital CMOS Pulse UWB Generator / CASU M.R.; GRAZIANO M; ZAMBONI M. -

More information

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Mahdi Parvizi a), and Abdolreza Nabavi b) Microelectronics Laboratory, Tarbiat Modares University, Tehran

More information

AN IMPULSE radio possesses several advantages over

AN IMPULSE radio possesses several advantages over 218 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 61, NO. 1, JANUARY 2013 OOK/BPSK-Modulated Impulse Transmitters Integrated With Leakage-Cancelling Circuit Yu-Tsung Lo, Chau-Chan Yui, and

More information

A pj/pulse Highly-Flexible Impulse-Radio Ultra-Wideband Pulse-Generator

A pj/pulse Highly-Flexible Impulse-Radio Ultra-Wideband Pulse-Generator Progress In Electromagnetics Research C, Vol. 55, 39 47, 204 A 2.8 7.5 pj/pulse Highly-Flexible Impulse-Radio Ultra-Wideband Pulse-Generator Kin Keung Lee * and Tor Sverre Lande Abstract A low-power on-off-keying

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

WITH advancements in submicrometer CMOS technology,

WITH advancements in submicrometer CMOS technology, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 3, MARCH 2005 881 A Complementary Colpitts Oscillator in CMOS Technology Choong-Yul Cha, Member, IEEE, and Sang-Gug Lee, Member, IEEE

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

Quiz2: Mixer and VCO Design

Quiz2: Mixer and VCO Design Quiz2: Mixer and VCO Design Fei Sun and Hao Zhong 1 Question1 - Mixer Design 1.1 Design Criteria According to the specifications described in the problem, we can get the design criteria for mixer design:

More information

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design

Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design 2016 International Conference on Information Technology Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design Shasanka Sekhar Rout Department of Electronics & Telecommunication

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL 1 Parmjeet Singh, 2 Rekha Yadav, 1, 2 Electronics and Communication Engineering Department D.C.R.U.S.T. Murthal, 1, 2 Sonepat,

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information