Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style Fu-Wei Chen and Yi-Yu Liu, Member, IEEE

Size: px
Start display at page:

Download "Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style Fu-Wei Chen and Yi-Yu Liu, Member, IEEE"

Transcription

1 2046 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 12, DECEMBER 2010 Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style Fu-Wei Chen and Yi-Yu Liu, Member, IEEE Abstract In recent years, structured application-specific integrated circuit (ASIC) design style has lessened the importance of mask cost. Multiple structured ASIC chip designs share the same pre-fabricated device and wire masks. Nevertheless, the interconnection delay in a pre-fabricated wire slows down circuit performance as a result of high capacitive load. We propose a dual-rail routing architecture that reduces wire delay by 10% to 15% compared to the original routing architecture. Furthermore, we propose a dual-rail insertion algorithm to reduce routing area overhead. The experimental results demonstrate that our dual-rail technique reduces wire delay by 9.8% with 4.8% routing area overhead and improves overall circuit performance by 7.0%. Index Terms Crossbar switch, crosstalk, dual-rail, routing, structured application-specific integrated circuit (ASIC). I. Introduction With the advances of very-large-scale integration (VLSI) manufacturing technology, lithographic difficulties have dramatically increased mask cost. The one-time-use mask cost is no longer affordable for small and medium volume application-specific integrated circuit (ASIC) designs. This results in a higher threshold for conventional standard cell design style. To reduce mask cost, structured ASIC is proposed to share multiple masks among different designs [1], [2]. In this paper, we are going to discuss interconnection issues of structured ASIC. For pre-fabricated metal layers, we propose a uniform dual-rail routing architecture as a wire tapering alternative to improve circuit performance. Furthermore, we propose a dual-rail insertion algorithm to reduce routing area overhead caused by extra wire segments. The rest of this paper is organized as follows. The background knowledge of structured ASIC and performance-driven routing architectures is given in Section II. Section III illustrates our SPICE simulations on different routing architectures. The dual-rail insertion technique and algorithm for structured ASIC design style are proposed in Section IV. Section V reports the experimental results. Section VI concludes this paper with future research directions. II. Preliminary A. Structured ASIC Design Style Structured ASIC is proposed to balance the problems of mask cost and circuit performance between the field pro- Manuscript received December 3, 2008; revised November 30, 2009 and April 20, 2010; accepted July 1, Date of current version November 19, This work was supported in part by the National Science Council of Taiwan, under Grants NSC E and NSC E MY2. This paper was recommended by Associate Editor L. Scheffer. F.-W. Chen is with the Department of Computer Science, National Tsing Hua University, Hsinchu 30013, Taiwan ( d @oz.nthu.edu.tw). Y.-Y. Liu is with the Department of Computer Science and Engineering, Yuan Ze University, Chung-Li 32003, Taiwan ( yyliu@saturn.yzu.edu.tw). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCAD /$26.00 c 2010 IEEE grammable gate array (FPGA) and standard cell. In contrast to the FPGA design style, the structured ASIC design style replaces both SRAMs and transmission-gates by maskprogrammable vias. Therefore, a structured ASIC trades field programmability for circuit performance. Several previous works utilize island-style pre-fabrication in their structured ASIC design [1] [3]. The island-style pre-fabrication is one of the well-known chip-level pre-fabricated design styles [4], [5]. By properly configuring mask-programmable vias, various VLSI circuits can be implemented in island-style prefabrications. The structured ASIC design style is also known as via patterned gate array. In order to understand resource utilization of pre-fabricated design styles, we conduct a profiling experiment. First, benchmark circuits from MCNC are optimized, mapped, and packed by using SIS [6], FlowMap [7], and T-Vpack [4], respectively. After that, we perform placement and routing by using VPR [4]. Table I shows the results. In Table I, Columns CLB and Net are numbers of CLBs and nets, respectively. Columns U CLB and U Channel are utilizations of CLB and routing channel, respectively. From Table I, we can see that the channel utilization is relatively low compared to the CLB utilization. Many unused routing tracks are wasted in a prefabricated design style. B. Performance-Driven Routing Architectures Conventionally, non-uniform wire tapering architecture is used to reduce interconnection delay. Since there are many unused tracks in a pre-fabricated design style, we propose a uniform dual-rail routing architecture for wire delay optimization. Our dual-rail merges two adjacent wires into one signal propagation channel. According to the anti-miller effect, coupling capacitance between the merged wires can be greatly reduced [8]. Additionally, wire resistance of this new routing channel is reduced by two. Hence, wire delay can be reduced by using our dual-rail routing architecture. The schematics of tapering and dual-rail routing architectures are drawn in Fig. 1(a) and (b), respectively. III. Simulations A. Simulation Setup The SPICE netlists of tapering and dual-rail routing architectures are drawn in Fig. 2(a) and (b), respectively. In Fig. 2, R, C c, C g, L, and M represent wire resistance, coupling capacitance, ground capacitance, self inductance, and mutual inductance, respectively. The suffixes, w and n, indicate thick (wide) wire and thin (narrow) wire, respectively. For simplicity, we use victim and aggressor to indicate the middle wire and the upper/lower wire, respectively. Our simulations target on 65 nm process technology. The wire segment length is 25 µm for each distributed π-model. The source isa16x-inverter and the sink isa2x-inverter. All technology parameters are adopted from public documents, predictive technology model [9] and international technology roadmap for semiconductors 2007 [10]. Table II lists all parameters used in our simulations.

2 CHEN AND LIU: PERFORMANCE-DRIVEN DUAL-RAIL ROUTING ARCHITECTURE FOR STRUCTURED ASIC DESIGN STYLE 2047 TABLE I Resource Utilization of Pre-Fabricated Design Style Circuit CLB Net U CLB (%) U Channel (%) apex bigkey C des diffeq dsip elliptic ex ex5p frisc misex s seq spla tseng average Fig. 1. Schematics of tapering and dual-rail routing architectures. (a) Tapering routing architecture. (b) Dual-rail routing architecture. Fig. 2. SPICE netlists of the tapering and dual-rail routing architectures. (a) Tapering routing architecture. (b) Dual-rail routing architecture. B. Property Simulations We first observe basic properties of different routing architectures in terms of delay, noise, and power. The total wire length is set to 1000 µm. We incrementally insert one-tenth total wire length (i.e., 100 µm) of tapering wire and dualrail wire from source and then record the simulation results. Fig. 3(a) shows the worst case signal propagation delay. The worst case condition occurs when victim signal transits in one direction and both aggressor signals transit in the opposite direction. The resulting crosstalk noise is drawn in Fig. 3(b). The crosstalk noise is the maximum voltage fluctuation of a stable victim when both aggressor signals transit to the same direction. Fig. 3(c) draws power consumptions of both the worst and the best propagation delay conditions. TABLE II Technology Parameters Type Thin Wire Thick Wire Wire width (µm) Wire spacing (µm) Wire thickness (µm) Wire cross-section area (µm 2 ) Dielectric constant (k) Wire resistance ( ) Self inductance (nh) Mutual inductance (nh) Ground capacitance (ff) Coupling capacitance (ff) Total capacitance (ff) According to the simulation results, dual-rail routing architecture outperforms tapering and original routing architectures in delay and noise. For power consumption, dual-rail routing architecture is better than tapering routing architecture and similar to original routing architecture. Furthermore, we notice that the wire resistance of a dual-rail wire is even 22.5% greater than that of a tapering wire since the cross-section area of a tapering wire is =1.225 times larger than that of a dual-rail wire in our simulation. Hence, the aforementioned anti-miller effect in dual-rail routing architecture counteracts the increased wire resistance penalty compared to the tapering routing architecture. C. Scalability Simulations We conduct simulations for five conditions with total wire lengths from 500 µm to 2500 µm. For each of the five conditions, we incrementally insert one-tenth total wire length of tapering wire and dual-rail wire from source and then perform the worst case simulation. After the best wire ratio of signal propagation delay is found, we record crosstalk noise and power consumption accordingly. Fig. 4(a) shows the best signal propagation delay of different total wire lengths in the worst case condition. Fig. 4(b) and (c) is the results of crosstalk noise and power consumption, respectively. The simulation results indicate that dual-rail routing architecture outperforms tapering routing architecture in terms of crosstalk noise and power consumption. For total wire length below 2000 µm, both tapering and dual-rail routing architectures obtain similar signal propagation delay. Therefore, dual-rail is a promising routing architecture for structured ASIC design style with 10% to 15% wire delay improvement compared to the original routing architecture. D. Resistance and Capacitance Characterizations In order to efficiently apply the dual-rail technique in wire routing, we need accurate resistance and capacitance (RC) values for dual-rail routing architecture. The RC values can be used for fast timing analysis with the Elmore delay model. The dual-rail wire resistance is reduced by two since there are two identical wire segments. For dual-rail wire capacitance, it is difficult to obtain a closed analytical formula. Hence, we perform effective capacitance fitting for both original and dualrail wires. We first remove coupling capacitance in our SPICE netlists to prevent interference from crosstalk effect. After that, we fine tune the effective values of ground capacitance for both original wire and dual-rail wire in order to obtain similar worst

3 2048 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 12, DECEMBER 2010 Fig. 3. Property simulation results in terms of (a) signal propagation delay, (b) crosstalk noise, and (c) power consumption. Fig. 4. Scalability simulation results in terms of (a) signal propagation delay, (b) crosstalk noise, and (c) power consumption. case simulation results within 1 ps delay deviation. Finally, the capacitance factor is the ratio of the effective capacitance in dual-rail wire to that in original wire. Fig. 5 summarizes our fitting results with 25 µm unit wire length. The fitting results indicate that the effective capacitance of dual-rail wire is about 16.8% larger than that of the original wire. Given an n-segment transmission line, assume that the driver resistance, load capacitance, unit wire resistance, and unit wire capacitance are R d, C L, r w, and c w, respectively. According to the Elmore delay model, the signal propagation delay of the transmission line can be derived in Delay = R d C L + nr d c w + nr w C L n2 r w c w. (1) In (1), the first term is a constant in both original and dualrail routing architectures. The second term will be increased by 16.8% in dual-rail routing architecture. The last two terms will be decreased by 50% and =41.6% in dual-rail routing architecture, respectively. Therefore, our dualrail routing architecture can be utilized for interconnection performance improvement unless the second term dominates the last two terms. Fig. 5. Effective wire capacitance characterization per 25 µm. IV. Dual-Rail for Structured ASIC Design Style A. Dual-Rail Insertion Technique To enable dual-rail routing, we need to insert a dual-rail wire segment next to the original wire segment. After that, we need to modify corresponding connection-blocks and switchblocks to complete dual-rail routing. For layout regularity, we take crossbar switch-block as an example to illustrate dual-rail insertion for structured ASIC design style [1]. Fig. 6. Dual-rail insertion in connection-block and switch-block. Fig. 6 draws the schematic of dual-rail insertion within a connection-block and a switch-block. By enabling dualrail insertion capabilities within both connection-blocks and switch-blocks, we are capable of reducing wire delay at the cost of routing area overhead. Therefore, we need to effectively select a small set of wire segments for dual-rail insertion.

4 CHEN AND LIU: PERFORMANCE-DRIVEN DUAL-RAIL ROUTING ARCHITECTURE FOR STRUCTURED ASIC DESIGN STYLE 2049 B. Dual-Rail Insertion Algorithm The dual-rail insertion algorithm is performed after timingdriven routing by using original wires. Once the initial routing is completed, we use the Elmore delay model to compute wire delay. Then, we use Steiner points to decompose all nets into several 2-pin wire segments. After that, static timing analysis is performed to compute the criticality of each wire segment. Wire segments with small slack are candidates for dual-rail insertion. We define some notations before illustrating the cost function of our dual-rail insertion algorithm. For simplicity, we set the required time of a circuit to the maximum arrival time (i.e., circuit delay). The wire slack is the required time minus the arrival time. The maximum slack is the maximum wire slack among all wires. Definition 1: The wire criticality of wire i can be formulated as wire slack i Crit(i) 1 maximum slack. Definition 2: The delay significance of wire i can be formulated as ( ) delay of wire i Sig(i) min W circuit delay, 1 where W is a user-specified constant to weight each wire according to the wire delay. In this paper, we set W = 15%. Definition 3: The channel congestion of wire i in routing channel x can be formulated as ci x number of routed wires. width of the channel Definition 4: The wire congestion of a wire i can be formulated as Cong(i) MAX(ci x ), routing channel x of wire i. Fig. 7. Dual-rail insertion algorithm. According to the simulation results in Section III, the dualrail technique achieves 10% to 15% wire delay reduction. Hence, we select wires with slack less than and equal to 15% of circuit delay as candidate wires for dual-rail insertion. After that, we use the following heuristic to decide whether a dualrail wire could be inserted or not for routing area overhead reduction: Crit(i) Sig(i) Cong(i) k (2) where k is a user-specified constant. The dual-rail wire is inserted if the condition of (2) satisfies. In (2), the product of wire criticality and delay significance implies the potential gain from dual-rail insertion. The wire congestion minus k implies the tolerance of routing area overhead. Hence, dualrail wire can be inserted only if both the wire delay is large and the wire is on a critical or near-critical path under a given congestion constraint. Finally, a safe removal procedure is performed to reduce routing area overhead without increasing circuit delay. We iteratively remove dual-rail wire segments with slack larger than the delay improvement done by dual-rail insertion. The algorithm of dual-rail insertion is summarized in Fig. 7. Fig. 8 draws an example to illustrate our dual-rail insertion algorithm. A netlist with wire criticality, delay significance, Fig. 8. Example of dual-rail insertion. (a) A netlist example. (b) Dual-rail insertion result. and wire congestion is drawn in Fig. 8(a). Assume k =0.1, edges (A1, A25), (B1, G27), (G27, A25), (B25, PO2) satisfy (2). Hence, we insert dual-rail wire segments in order to reduce wire delay without incurring too much routing area overhead in Fig. 8(b). V. Experimental Results We use a FPGA physical design automation environment, VPR [4], as our simulation platform. Both the crossbar switch-block and dual-rail insertion algorithm proposed in Section IV are implemented in VPR and denoted as VPDR. In our experiments, all benchmark circuits are optimized by SIS using rugged script [6]. Then, we use FlowMap [7] to perform technology mapping on the optimized circuits. All the circuits are mapped into 4-input LUTs and flip-flops. After that, we use T-Vpack to pack 4-LUTs and flip-flops into CLBs. Finally, we perform placement and dual-rail routing by our VPDR.

5 2050 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 12, DECEMBER 2010 TABLE III Results of Dual-Rail Insertion Original VPR+D VPDR Circuit w h w v d logic d wire d total Ow h Ow v Id wire Id total Ow h Ow v Id wire Id total apex E E E % 10.81% 13.45% 10.21% 2.70% 2.70% 1.49% 1.13% bigkey E E E % 32.43% 13.12% 11.59% 8.11% 5.41% 4.08% 3.61% C E E E % 5.88% 20.44% 3.58% 2.94% 2.94% 2.34% 0.41% des E E E % 26.67% 25.33% 22.32% 3.33% 3.33% 22.32% 19.67% diffeq E E E % 5.41% 9.75% 3.77% 2.70% 2.70% 10.17% 3.93% dsip E E E % 30.77% 12.75% 11.02% 3.85% 3.85% 11.47% 9.92% elliptic E E E % 22.58% 8.85% 8.00% 9.68% 6.45% 17.27% 15.61% ex E E E % 10.87% 8.42% 3.49% 4.35% 2.17% 8.04% 3.33% ex5p E E E % 16.13% 19.13% 10.56% 3.23% 3.23% 9.34% 3.28% frisc E E E % 45.45% 15.19% 11.65% 6.06% 6.06% 14.66% 11.25% misex E E E % 37.93% 19.71% 17.92% 3.45% 3.45% 19.70% 17.91% s E E E % 37.93% 8.77% 4.01% 6.90% 3.45% 6.02% 2.12% seq E E E % 21.88% 16.85% 14.28% 3.13% 6.25% 3.29% 2.79% spla E E E % 17.65% 11.77% 10.19% 5.88% 5.88% 14.35% 9.91% tseng E E E % 29.41% 2.86% 0.62% 5.88% 5.88% 1.97% 0.43% Average 53.72% 23.45% 13.76% 9.55% 4.81% 4.25% 9.77% 7.02% In Table III, we compare performance improvement and area overhead of different approaches. Column Original represents the placement and routing results from VPR. We use the results of Original as a baseline. Column VPR+D represents the results of dual-rail insertion for wires with slack less than and equal to 15% of circuit delay. That is, we perform dual-rail insertion for all candidate wires described in Fig. 7. Column VPDR represents the results of our proposed algorithm, where we use k = 0.15 in our experiment. Columns w h and w v are channel widths in horizontal and vertical channels, respectively. Columns d logic, d wire, and d total are logic delay, wire delay, and total delay, respectively. Columns Ow h, Ow v, Id wire, and Id total are the horizontal and vertical routing area overhead, wire delay, and circuit delay improvement compared to the baseline, respectively. From Table III, dual-rail routing architecture reduces wire delay by 9.8% with 4.8% routing area overhead and improves circuit performance by 7.0%. In Table IV, we report the number of dual-rail 2-pin wires. Columns 2-pin, Cand, Dual, and Removal represent numbers of all 2-pin wires, candidate wires, inserted dual-rail wires, and dual-rail wires after safe removal, respectively. Columns R c, R d, and R r are ratios of candidate wires, inserted dual-rail wires, and dual-rail wires after safe removal to total number of 2-pin wires. From Table IV, we can see that our proposed algorithm effectively selects a small portion of wire segments for dual-rail insertion. VI. Conclusion and Future Work We have proposed a dual-rail routing architecture to improve circuit performance of structured ASIC design style. By exploiting the anti-miller effect between two adjacent wires with the same signal source, this dual-rail routing architecture achieves 10% to 15% wire delay reduction. Additionally, we present a technique to insert dual-rail wires for structured ASIC design style. Furthermore, we propose an effective dualrail insertion algorithm to reduce routing area overhead. The experimental results demonstrate that our proposed algorithm improves overall circuit performance by 7.0% with about 4.8% routing area overhead. There are some practical issues that can be further addressed. The concept of dual-rail insertion can be further TABLE IV Number of Dual-Rail 2-Pin Wires Circuit 2-pin Cand R c Dual R d Removal R r apex % % % bigkey % % % C % % % des % % % diffeq % % % dsip % % % elliptic % % % ex % % % ex5p % % % frisc % % % misex % % % s % % % seq % % % spla % % % tseng % % % Average 7.98% 1.35% 1.28% integrated into initial global routing in order to reserve enough routing tracks for important and critical wires. Moreover, it is worthwhile to use dual-rail as a new routing alternative in different metal layers for ASIC routing resource management. References [1] C. Patel, A. Cozzie, H. Schmit, and L. Pileggi, An architectural exploration of via patterned gate arrays, in Proc. Int. Symp. Phys. Des., Apr. 2003, pp [2] Y. Ran and M. Marek-Sadowska, Via-configurable routing architectures and fast design mappability estimation for regular fabrics, in Proc. Int. Conf. Comput.-Aided Des., May 2005, pp [3] T. Zhang and S. S. Sapatnekar, Buffering global interconnects in structured ASIC design, in Proc. Conf. Asia South Pacific Des. Autom., Jan. 2005, pp [4] V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep- Submicron FPGAs. Boston, MA: Kluwer, [5] D. Chen, J. Cong, and P. Pan, FPGA Design Automation. Delft, The Netherlands: Now Publishers, [6] E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. R. Stephan, R. K. Brayton, and A. Sangiovanni- Vincentelli, SIS: A system for sequential circuit synthesis, Electron. Res. Lab., Univ. California, Berkeley, Mem. UCB/ERL M92/41, May 4, [7] J. Cong and Y. Ding, Flowmap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 13, no. 1, pp. 1 12, Jan [8] A. B. Kahng, S. Muddu, and E. Sarto, On switch factor based analysis of coupled RC interconnects, in Proc. Des. Autom. Conf., Jun. 2000, pp

6 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 12, DECEMBER [9] The Predictive Technology Model. (2007) [Online]. Available: ptm [10] The International Technology Roadmap for Semiconductors. (2007) [Online]. Available: htm DiSC: A New Diagnosis Method for Multiple Scan Chain Failures Sunghoon Chun and Alex Orailoglu Abstract In scan-based testing environments, identifying the scan chain failures can be of significant help in guiding the failure analysis process for yield improvement. In this paper, we propose an efficient scan chain diagnosis method using a symbolic fault simulation to achieve high diagnostic resolution and small candidate list for single and multiple defects in scan chains. The main ideas of the proposed scan chain diagnosis method are twofold: 1) the reduction of the candidate scan cells through the analysis of the symbolic simulation responses, and 2) the identification of final candidate scan cells using the backward tracing method with the symbolic simulation responses. Experimental results show the effectiveness. Index Terms Diagnosis, fault simulation, scan-based test. I. Introduction Functional scan chains are critical to the testing and diagnosis of the logic portions of the circuit. Hence, it is necessary to identify the fault locations in faulty scan chains. Scan chain diagnosis is the first step in the fault isolation process. Increasingly, more attention is being paid to it as it helps to accelerate product yield improvement, and to guarantee scanbased logic diagnosis. Previous scan chain fault diagnosis methodologies are classified into two categories: 1) hardware-based scan chain diagnosis [1], [2], and 2) software-based scan chain diagnosis [3] [10]. Hardware-based scan chain diagnosis [1], [2] may not be acceptable in practice because of the extra hardware overhead required, performance penalties, and the occurrence of the possibility of faults caused by the additional circuits. Software-based scan chain diagnosis techniques [3] [10] are algorithmic approaches used to identify failing scan cells. Since these techniques do not require any modification of the original scan chain design, they are more popular than the hardware-based approaches. However, previous softwarebased scan chain diagnosis methods [3] [10] suffer from large candidate lists and low diagnostic resolution (DR). Some methods [3] [6] cannot handle the diagnosis problem if multiple faults exist in the same scan chain. Although several Manuscript received September 28, 2009; revised January 29, 2010, April 18, 2010, and June 28, 2010; accepted July 1, Date of current version November 19, This work was supported in part by the Korea Research Foundation, under Grant KRF D00194, funded by the Korean Government. This paper was recommended by Associate Editor F. Lombardi. S. Chun is with the Flash Solution Development Team, Samsung Electronics, Hwasung City, Gyeonggi-Do , Korea ( sh77.chun@samsung.com). A. Orailoglu is with the Department of Computer Science Engineering, University of California at San Diego, La Jolla, CA USA ( alex@cs.ucsd.edu). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCAD /$26.00 c 2010 IEEE research efforts can be found in the literature dealing with this problem [7], [8], they are time consuming and cannot achieve high DR for multiple-fault scan cells. In this paper, we propose a new scan cell fault simulationbased scan chain diagnosis method called diagnosis for scan chain failures (DiSC) to address the scan chain DR problem for a single as well as for multiple faults. The main idea of DiSC is to propagate a symbol representing the fault effect of the faulty candidate scan cell through a symbolic scan cell fault simulation, and to identify the exact defect candidates by analyzing the information of the symbolic fault simulation. The major contributions in this paper are the following: 1) the reduction of the candidate scan cells through the analysis of the symbolic simulation responses, and 2) the identification of final candidate scan cells using the backward tracing method with the symbolic simulation responses. II. Preliminaries A. Definitions We provide the following definitions to simplify the explanation of the proposed diagnosis method. Definition 5 (Simulation Response): The simulation response of a scan chain is the value combination of the flipflops after a logic simulation using a given pattern. Definition 6 (Observed Response): The observed response of a scan chain is the scanned out version of a simulation response. In a fault-free circuit, it is equivalent to the simulation response. Definition 7 (Faillog Response): The faillog response of a scan chain is the unloaded response of a faulty scan chain in a chip. Definition 8 (Capture Image): The capture image of a scan chain is the value combination of the flip-flops after a capture procedure in the circuit under test (CUT). However, the capture image of a scan chain in a failing chip is not actually available because we do not know the real defect location in the scan chain. Definition 9 (Scan Cell Fault (SF) State Value): To consider the effect of faults and for distinguishing the location of the faulty scan cell, we define a new symbolic logic state value SF i, which is the location of a fault candidate scan cell, i.e., the ith scan cell in the faulty scan chain. Definition 10 (SF Response): The SF response of a scan chain is the value combination of the simulated outputs after the proposed symbolic simulation. Definition 11 (Propagated Fault Effects): The effects of the scan cell faults can be propagated to the faulty scan chain as well as to the good scan chains. The locations of these effects are defined as the propagated fault effects (PFEs). Definition 12 (Single Propagated SF): In SF response, if only one SF i value, not the combination value of two or more SF values, is propagated to a good scan chain, this value is defined as a location of a single propagated SF value (SPSF); the state value of the SPSF is called the SFSF value. Definition 13: [Multiple Propagated SF Value] In an SF response, a location of a multiple propagated SF value (MPSF)

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

Power Modeling and Characteristics of Field Programmable Gate Arrays

Power Modeling and Characteristics of Field Programmable Gate Arrays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS, VOL. XX, NO. YY, MONTH 2005 1 Power Modeling and Characteristics of Field Programmable Gate Arrays Fei Li and Lei He Member, IEEE Abstract

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages

Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages Deming Chen, Jason Cong Computer Science Department University of California, Los Angeles {demingc, cong}@cs.ucla.edu Fei Li,

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Alexander Danilin, Martijn Bennebroek, and Sergei Sawitzki. A Novel Routing Architecture for Field-Programmable Gate-Arrays

Alexander Danilin, Martijn Bennebroek, and Sergei Sawitzki. A Novel Routing Architecture for Field-Programmable Gate-Arrays A Novel Routing Architecture for Field-Programmable Gate-Arrays Alexander Danilin, Martijn Bennebroek, and Sergei Sawitzki A Novel Routing Architecture for Field-Programmable Gate-Arrays February 27, 2008

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

Analysis and design of a low voltage low power lector inverter based double tail comparator

Analysis and design of a low voltage low power lector inverter based double tail comparator Analysis and design of a low voltage low power lector inverter based double tail comparator Surendra kumar 1, Vimal agarwal 2 Mtech scholar 1, Associate professor 2 1,2 Apex Institute Of Engineering &

More information

COFFE: Fully-Automated Transistor Sizing for FPGAs

COFFE: Fully-Automated Transistor Sizing for FPGAs COFFE: Fully-Automated Transistor Sizing for FPGAs Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson Optimization and Modeling of FPGA Circuitry in Advanced Process Technology by Charles Chiasson A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, and Ting-Ting Lin Department of Computer Science and Engineering Mail Code 0114

More information

FIELD-PROGRAMMABLE gate array (FPGA) chips

FIELD-PROGRAMMABLE gate array (FPGA) chips IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 54, NO. 11, NOVEMBER 2007 2489 3-D nfpga: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits Chen Dong, Deming

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

A New Enhanced SPFD Rewiring Algorithm

A New Enhanced SPFD Rewiring Algorithm A New Enhanced SPFD Rewiring Algorithm Jason Cong *, Joey Y. Lin * and Wangning Long + * Computer Science Department, UCLA + Aplus Design Technologies, Inc. {cong, yizhou}@cs.ucla.edu, longwn@aplus-dt.com

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

FPGA Device and Architecture Evaluation Considering Process Variations

FPGA Device and Architecture Evaluation Considering Process Variations FPGA Device and Architecture Evaluation Considering Process Variations Ho-Yan Wong, Lerong Cheng, Yan Lin, Lei He Electrical Engineering Department University of California, Los Angeles ABSTRACT Process

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

Methodologies for Tolerating Cell and Interconnect Faults in FPGAs

Methodologies for Tolerating Cell and Interconnect Faults in FPGAs IEEE TRANSACTIONS ON COMPUTERS, VOL. 47, NO. 1, JANUARY 1998 15 Methodologies for Tolerating Cell and Interconnect Faults in FPGAs Fran Hanchek, Member, IEEE, and Shantanu Dutt, Member, IEEE Abstract The

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Wire Width Planning for Interconnect Performance Optimization

Wire Width Planning for Interconnect Performance Optimization IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 3, MARCH 2002 319 Wire Width Planning for Interconnect Performance Optimization Jason Cong, Fellow, IEEE, and

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Acknowledgement. I would like to express my gratitude to my advisor, Professor Benton H. Calhoun for his useful comments,

Acknowledgement. I would like to express my gratitude to my advisor, Professor Benton H. Calhoun for his useful comments, Acknowledgement I would like to express my gratitude to my advisor, Professor Benton H. Calhoun for his useful comments, remarks, and engagement through the learning process of my Master s thesis. Without

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 23, 357 362, 2007 * 2007 Springer Science + Business Media, LLC Manufactured in The United States. DOI: 10.1007/s10836-006-0630-0 MDSI: Signal Integrity

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 http://cad contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 2013 Lecture 7: CMOL Outline CMOL Main idea 3D CMOL CMOL memory CMOL logic General purporse Threshold logic Pattern matching Hybrid CMOS/Memristor

More information

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Analysis of Laddering Wave in Double Layer Serpentine Delay Line International Journal of Applied Science and Engineering 2008. 6, 1: 47-52 Analysis of Laddering Wave in Double Layer Serpentine Delay Line Fang-Lin Chao * Chaoyang University of Technology Taichung, Taiwan

More information

Logic Rewiring for Delay and Power Minimization *

Logic Rewiring for Delay and Power Minimization * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 20, 1-XXX (2004) Short Paper Logic Rewiring for Delay and Power Minimization * Department of Electrical and Computer Engineering and Department of Computer

More information

TRENDS in technology scaling make leakage power an

TRENDS in technology scaling make leakage power an IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 3, MARCH 2006 423 Active Leakage Power Optimization for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid

More information

Testability Synthesis for Jumping Carry Adders

Testability Synthesis for Jumping Carry Adders VLSI Design, 2002 Vol. 14 (2), pp. 155 169 Testability Synthesis for Jumping Carry Adders CHIEN-IN HENRY CHEN a, * and MAHESH WAGH b a Department of Electrical Engineering, Wright State University, Dayton,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Special Section Short Papers

Special Section Short Papers IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 6, JUNE 2003 723 Special Section Short Papers PLA-Based Regular Structures and Their Synthesis Fan Mo and Robert

More information

A Lithography-friendly Structured ASIC Design Approach

A Lithography-friendly Structured ASIC Design Approach A Lithography-friendly Structured ASIC Design Approach Salman Gopalani salman_at_neo.tamu.edu Sunil P Khatri sunilkhatri_at_tamu.edu ajesh Garg rajeshgarg_at_tamu.edu Mosong Cheng mcheng_at_ece.tamu.edu

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Design and simulation of a QCA 2 to 1 multiplexer

Design and simulation of a QCA 2 to 1 multiplexer Design and simulation of a QCA 2 to 1 multiplexer V. MARDIRIS, Ch. MIZAS, L. FRAGIDIS and V. CHATZIS Information Management Department Technological Educational Institute of Kavala GR-65404 Kavala GREECE

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

An Efficient Model for Frequency-Dependent On-Chip Inductance

An Efficient Model for Frequency-Dependent On-Chip Inductance An Efficient Model for Frequency-Dependent On-Chip Inductance Min Xu ECE Department University of Wisconsin-Madison Madison, WI 53706 mxu@cae.wisc.edu Lei He ECE Department University of Wisconsin-Madison

More information

Perturb+Mutate: Semisynthetic Circuit Generation for Incremental Placement and Routing

Perturb+Mutate: Semisynthetic Circuit Generation for Incremental Placement and Routing Perturb+Mutate: Semisynthetic Circuit Generation for Incremental Placement and Routing DAVID GRANT and GUY LEMIEUX The University of British Columbia CAD tool designers are always searching for more benchmark

More information

Leakage Power Modeling and Reduction Techniques for Field Programmable Gate Arrays

Leakage Power Modeling and Reduction Techniques for Field Programmable Gate Arrays Leakage Power Modeling and Reduction Techniques for Field Programmable Gate Arrays by Akhilesh Kumar A thesis presented to the University of Waterloo in fulfilment of the thesis requirement for the degree

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Latch-Based Performance Optimization for Field-Programmable Gate Arrays

Latch-Based Performance Optimization for Field-Programmable Gate Arrays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 5, MAY 2013 667 Latch-Based Performance Optimization for Field-Programmable Gate Arrays Bill Teng and Jason H.

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

An Area Efficient Decomposed Approximate Multiplier for DCT Applications

An Area Efficient Decomposed Approximate Multiplier for DCT Applications An Area Efficient Decomposed Approximate Multiplier for DCT Applications K.Mohammed Rafi 1, M.P.Venkatesh 2 P.G. Student, Department of ECE, Shree Institute of Technical Education, Tirupati, India 1 Assistant

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

User2User The 2007 Mentor Graphics International User Conference

User2User The 2007 Mentor Graphics International User Conference 7/2/2007 1 Designing High Speed Printed Circuit Boards Using DxDesigner and Expedition Robert Navarro Jet Propulsion Laboratory, California Institute of Technology. User2User The 2007 Mentor Graphics International

More information

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Falah R. Awwad Concordia University ECE Dept., Montreal, Quebec, H3H 1M8 Canada phone: (514) 802-6305 Email:

More information

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto Dept. Communications & Computer Engineering Kyoto University hasimoto@i.kyoto-u.ac.jp Yuji Yamada Dept. Communications

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits 390 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 2, APRIL 2001 Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits TABLE I RESULTS FOR

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information