Lab Report #7 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 March 22, 2013 Abstract

Size: px
Start display at page:

Download "Lab Report #7 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 March 22, 2013 Abstract"

Transcription

1 Lab Report #7 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 March 22, 2013 Abstract The goal of Lab 7 was to create a musical keyboard from a computer keyboard. The keyboard was connected to the DE2 board, which was programmed using QUARTUS II with a circuit built by the students. The keyboard s keys were used as inputs, and functioned like the keys on a piano, or other musical instrument. These inputs were manipulated to form p values for a synthesizer circuit. Since these p values directly change the output frequency of the synthesizer and the output was sent to the CODEC on the DE2 board, each key was able to be mapped to a specific audible note. The circuit created in this lab allowed two notes to be played at once from the keyboard (two channels) and also allowed the channels of the keyboard to be toggled on and off using a switch on the DE2 board. This was accomplished through the use of multiplexers.

2 Lab Report #7 2 3/22/2013 Introduction Lab 7 built off knowledge learned in previous labs. Group 12 continued to implement synthesizers and the CODEC. This specific lab required the group to construct a musical keyboard that has the ability to play two frequencies simultaneously (two channels). The group was also required to have an option to turn off two channels and only have one note playing simultaneously. This lab report includes the calculations that were done, the experiment and results that were obtained, and lab discussion questions. A conclusion ends the lab report. Theory / Calculations Constant P equations: B = (F / F Middle C ) x (2 m / F s ) -- (eq. 1) a/2 m (Bit-Shifting) -- (eq. 2) F(12-bit) x B(20-bit) = P(32-bit) Main Body The procedure of this lab builds on many of the previous labs. It involves the use of the CODEC, a synthesizer and an lpm_rom, all created in previous labs. Before constructing the circuit, a new QUARTUS II project is created in a new folder. DE2 device settings and other general project settings are the same as in previous labs. Specific settings for the lab are listed in Table 1 and will be referred to throughout the lab. File/Component Parameter Proper Setting Lpm_ROM - Lookup Output bus 12 Bits Number of words 256 words Memory content file Freq_map.mif Lpm_ROM - Synthesizer Output bus 12 Bits Number of words 256 words Memory content file brass.mif Lpm_add_sub0 data 32 Bits Addition, Subtraction, or Both Addition Lpm_add_sub1 data 16 Bits Addition, Subtraction, or Both Addition Lpm_mux Data inputs 8 Bits Output bus 8 Bits Lpm_mult dataa 20 Bits datab 12 Bits Does datab have a constant No Value? Type of multiplication Signed Table 1: Settings for specific circuit elements

3 Lab Report #7 3 3/22/2013 The group will begin by constructing and implementing a one channel controller. After receiving successful results, the group will incorporate two channels. The reason for this is to simplify error debugging in the case the project doesn t compile or function. The group begins by first configuring the CODEC chip. The procedure for this has been stated in previous labs. After checking to make sure the CODEC and speaker are operating correctly, the group can then begin to work on the constructing the circuit. The procedure is as follows: Channel One A new block diagram is created and saved in the project directory. Before the construction of the circuit, the filed named PS2_KEYBOARD.v must be copied into the project folder from the Sophomore Experience Directory. This is the symbol file for the PS2 keyboard component that will be implemented into the design. A symbol file can be created from this file by going to file --> create --> symbol file. The PS2_KEYBOARD block element can now be used in the project. The group first builds a single synthesizer based off the settings located in Table 1. The process for building a synthesizer has been used in the previous labs. Next, brass.mif needs to be copied into the project directory from the Sophomore Experience folder. This file is used with the lpm_rom element of the synthesizer. The file is used in both channel one and for channel two. Further assembly of the synthesizer then continues again adding components whose properties are stated in Table 1. After successfully constructing the synthesizer, the group needs to arrange the circuit to operate with the PS2 keyboard. Further expansion of the circuit will be necessary. First, a new lpm_rom needs to be created in order serve as a lookup table for the different keys on the keyboard. Add the component using the settings described in Table 1. From there, the values contained in the.mif have not yet been converted to the proper analog frequencies that will appear at the line_out when a key is pressed and need to be adjusted. In order to obtain these values, a multiplier, lpm_mult, is used with a constant, B, to find the correct frequencies. To determine the constant B, eq. 1 is used. (eq. 1) B = (F / F Middle C ) x (2 m / F s ) The result of eq. 1, setting F equal to 523 Hz and F Middle C equal to 565, is Next, the lpm_constant is connected to the input with a width of 20 and lpm_rom to the input with a width of 12 on the multiplier. The output of this will be a number that will represent the address and frequency. The idea of this part of the circuit is again to assign each key that is located in the.mif file with its own unique frequency when pressed. From here the signal will flow from the output of the multiplier which is then connected to the input, dataa, of the lpm_add_sub of the synthesizer. Now, the DAC component must be added to the project. To do this, it is first copied into the project directory of the Sophomore Experience folder and then added to the project (refer to lab 4 for the setup of the block). The output from the lpm_rom1 of the synthesizer is then directly connected to audio_outl and audio_outr. The output of the signal will then be fed into an adc2dac component. The AUD_DACLRCK is used as the clock for the synthesizer part of the design. The PS2_KEYBOARD element is then added.

4 Lab Report #7 4 3/22/2013 Two input pins are added and connected to CLOCK_50 and ps2_clk. CLOCK_50 will then be routed to be the DAC block and lpm_rom0. Next a power source or vcc is added and connected to both reset and reset 1 of the ps2 keyboard component. After this, a bidirectional pin is needed for the port named ps2_dat. Finally lpm_rom input is connected to key1_code. The design now is complete for a one channel electric keyboard. After importing pin assignments and verifying that this project is set to the top-level entity, the project is compiled then programed onto the FPGA chip. Figure 1 shows the keys that are active on the PS2 keyboard. Figure 1: The location of the frequencies on the PS2 Keyboard. Channel Two The following is the procedure to expand the previous circuit to generate two signals when two keys are pressed on the PS2 keyboard simultaneously. To begin, the group needs to replicate the first channel to create another identical circuit that uses its own synthesizer. In addition to this, in order to have some control over whether or not the circuit will be one or two channels, a multiplexer, lpm_mux, will also be added to each channel before the lpm_roms that contain the look up tables. A multiplexer is a function that allows the group to control the output. In this case, the multiplexers will have two inputs. The first, data1, will be connected to the PS2 keyboard component at either key1_code (or if it s for the second channel, at key2_code). The other input, data0, will be connected to a ground. Next, the group adds an input pin named SW[1] (or SW[2]) as the selector. Figure 2 exhibits the above descriptions.

5 Lab Report #7 5 3/22/2013 Figure 2: Part of a circuit that implements multiplexers to control the channels. The group then needs to add the outputs of the synthesizer together before sending it into the DAC. Since there are two channels for this keyboard, each out of the synthesizers will need to be divided by two before they can be added together. To do this, bit shifting must be used (see eq. 2). (eq. 2) a/2 m The least significant digits, depending on m, will be discarded and the number can then be padded with 0 s. In this case, the output bus divide by 2 1 of the first synthesizer is named in1[15],in1[15],in[14..1]. As one can see, the last two digits are discarded and then padded with zeros on the left. The same idea is applied to the second synthesizer. Finally, both buses are added together and the result is routed to the DAC block. Figure 3 shows how the synthesizers are connected and Figure 4 shows how the DAC block is implemented fully into the design.

6 Lab Report #7 6 3/22/2013 Figure 3: Part of a circuit that shows the addition of two synthesizers. Figure 4: Part of a circuit that shows how one bus can be routed to both signals of the DAC component.

7 Lab Report #7 7 3/22/2013 After ensuring that there are no errors in the design, the group compiles and programs the FPGA chip. The two channel electrical keyboard is now fully usable with the FPGA. Switch 1 on the DE2 board will enable the first channel and switch 2 will enable the second channel. The group may now begin to play beautiful music. Discussion and Suggestions How does the circuit convert key codes from the PS/2 keyboard controller into frequencies for the synthesizer? When a key is pressed on the keyboard a unique 8-bit wide signal is output from the PS2_KEYBOARD element. This signal is sent through a multiplexer (if switch is on) and then into an lpm_rom element, which maps the signal to a specific 12-bit output / frequency. The lpm_rom acts as a lookup table and converts the 8-bit keyboard signal into a 12-bit output frequency. This output is then multiplied by the constant B to get the p-value frequency for the synthesizer. Explain the purpose of constant B Constant B is used to convert the output frequency of the lookup table ROM into the p-frequency that the synthesizer uses. Hint: It is used to do 2 different tasks in one multiplication. Show and explain how you calculated constant B. 1. B s first function was as the multiple that gets the values received from the lpm_rom high enough to be used as valid frequencies for producing sound. 2. B s second function was tuning the keys to the correct frequency. i.e. F is a middle C The variables are then placed into the equation: I. F emits a frequency of 565 Hz naturally. II. A 32-bit bus is ultimately used so m=32. III. F s = sampling rate = Hz IV. F middle c = 565 Hz

8 Lab Report #7 8 3/22/2013 What does it mean to have two channels? The two channels are a result of the keyboard needing multiple outputs. When one key is pressed the keyboard outputs one unique signal. If a second is pressed the keyboard outputs a second unique signal. The second signal needs a new place to go because the first key that was pressed is taking the output slot. Due to this fact, the second signal needs to be output to a different output or channel. Using two channels means that these two outputs are connected to two independent synthesizers. Both channels then create their own output that could be played as an individual note. How are these channels merged into a single signal? However, the CODEC cannot handle two different outputs at the same time, so they must be merged into a single signal. To merge these signals, the outputs of both channels are divided by two (via bit shifting) and are then added together to create a single signal. The merged signal is sent to the adc2dac block in order to be converted and output to the speaker as sound. What do the multiplexers for the circuit do? The multiplexers in this circuit allow the user to turn off and on each channel independently. The user is able to turn on and off the first channel from the keyboard by using switch 1. Without this switch on, no sound can be played. Switch 2 controls the second channel of the circuit. When both switch 1 and 2 are on, two notes can be played at the same time. If switch 1 is on and switch 2 is off, then only one note can be played at a time. Conclusion The knowledge gained from previous labs was used in this lab to construct a very intricate circuit that allowed a computer keyboard to play musical notes. Previous circuit elements, such as the CODEC and the synthesizer circuit, were placed alongside new circuit designs like multiplexers to create the completed circuit. This approach of using both old and new components helped to reinforce the students understanding of each electrical component. In addition to understanding what is happening in the hardware, students also became more proficient at using QUARTUS II. Acknowledgements Alex Styborski, Daniel Telesman, and Josh Kauffman all were present during the lab period and contributed to this lab report.

Lab Report #10 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 Abstract

Lab Report #10 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 Abstract Lab Report #10 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 Abstract During lab 10, students carried out four different experiments, each one showing the spectrum of a different wave form.

More information

The Audio Synthesizer

The Audio Synthesizer The Audio Synthesizer Lab Summary In this laboratory, you will construct an audio synthesizer. The synthesizer generates signals for various tones that you will use for your Simon push buttons and win/lose

More information

Using the CODEC ReadMeFirst

Using the CODEC ReadMeFirst Using the CODEC ReadMeFirst Lab Summary This lab covers the use of the CODEC that is necessary in nearly all of the future labs. This lab is divided into three parts. In the first part, you will work with

More information

SDR14TX: Synchronization of multiple devices via PXIe backplane triggering

SDR14TX: Synchronization of multiple devices via PXIe backplane triggering 1 (5) Application Note: SDR14TX: Synchronization of multiple devices via PXIe backplane triggering Table of Contents 1 Introduction... 2 2 Overview... 2 3 PXIe backplane trigger signals... 2 3.1 Overview...

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Lab 4 An FPGA Based Digital System Design ReadMeFirst

Lab 4 An FPGA Based Digital System Design ReadMeFirst Lab 4 An FPGA Based Digital System Design ReadMeFirst Lab Summary This Lab introduces a number of Matlab functions used to design and test a lowpass IIR filter. As you have seen in the previous lab, Simulink

More information

Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta

Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta Abstract IoT devices are often hailed as the future of technology, where everything is connected.

More information

Iowa State University Electrical and Computer Engineering. E E 452. Electric Machines and Power Electronic Drives

Iowa State University Electrical and Computer Engineering. E E 452. Electric Machines and Power Electronic Drives Electrical and Computer Engineering E E 452. Electric Machines and Power Electronic Drives Laboratory #5 Buck Converter Embedded Code Generation Summary In this lab, you will design the control application

More information

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab

ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab ESE 350 Microcontroller Laboratory Lab 5: Sensor-Actuator Lab The purpose of this lab is to learn about sensors and use the ADC module to digitize the sensor signals. You will use the digitized signals

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

BeRadio SDR Lab & Demo

BeRadio SDR Lab & Demo BeRadio SDR Lab & Demo 1. Overview This lab demonstrates a rudimentary AM radio on the BeRadio Software Defined Radio (SDR) development board together with the BeMicroSDK FPGA-based MCU evaluation board.

More information

Surfing on a Sine Wave

Surfing on a Sine Wave Surfing on a Sine Wave 6.111 Final Project Proposal Sam Jacobs and Valerie Sarge 1. Overview This project aims to produce a single player game, titled Surfing on a Sine Wave, in which the player uses a

More information

TRDB_DC2 TRDB_DC2. 1.3Mega Pixel Digital Camera Development Kit

TRDB_DC2 TRDB_DC2. 1.3Mega Pixel Digital Camera Development Kit Terasic TRDB_DC2 Digital Camera Package TRDB_DC2 1.3Mega Pixel Digital Camera Development Kit Frame grabber with VGA display reference design For Altera DE2 and Terasic T-Rex C1 Boards TRDB_DC2 Document

More information

1 Overview. 2 Design. Simultaneous 12-Lead EKG Recording and Display. 2.1 Analog Processing / Frontend. 2.2 System Controller

1 Overview. 2 Design. Simultaneous 12-Lead EKG Recording and Display. 2.1 Analog Processing / Frontend. 2.2 System Controller Simultaneous 12-Lead EKG Recording and Display Stone Montgomery & Jeremy Ellison 1 Overview The goal of this project is to implement a 12-Lead EKG cardiac monitoring system similar to that used by prehospital

More information

EE 308 Spring 2006 FINAL PROJECT: INTERFACING AND MOTOR CONTROL WEEK 1 PORT EXPANSION FOR THE MC9S12

EE 308 Spring 2006 FINAL PROJECT: INTERFACING AND MOTOR CONTROL WEEK 1 PORT EXPANSION FOR THE MC9S12 FINAL PROJECT: INTERFACING AND MOTOR CONTROL In this sequence of labs you will learn how to interface with additional hardware and implement a motor speed control system. WEEK 1 PORT EXPANSION FOR THE

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Digital-to-Analog Converter. Lab 3 Final Report

Digital-to-Analog Converter. Lab 3 Final Report Digital-to-Analog Converter Lab 3 Final Report The Ion Cannons: Shrinand Aggarwal Cameron Francis Nicholas Polito Section 2 May 1, 2017 1 Table of Contents Introduction..3 Rationale..3 Theory of Operation.3

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

Experiment I: An Introduction to the Arduino Due + Sampling and Reconstruction

Experiment I: An Introduction to the Arduino Due + Sampling and Reconstruction ELEC 00/7: Signals Systems & Controls Prac/Lab : Introduction to the Arduino Due + Sampling & Reconstruction on the Arduino Due Revised March, 0 Pre-Lab The Pre-laboratory exercise for this laboratory

More information

EE 300W Lab 2: Optical Theremin Critical Design Review

EE 300W Lab 2: Optical Theremin Critical Design Review EE 300W Lab 2: Optical Theremin Critical Design Review Team Drunken Tinkers: S6G8 Levi Nicolai, Harvish Mehta, Justice Lee October 21, 2016 Abstract The objective of this lab is to create an Optical Theremin,

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Game Console Design. Final Presentation. Daniel Laws Comp 499 Capstone Project Dec. 11, 2009

Game Console Design. Final Presentation. Daniel Laws Comp 499 Capstone Project Dec. 11, 2009 Game Console Design Final Presentation Daniel Laws Comp 499 Capstone Project Dec. 11, 2009 Basic Components of a Game Console Graphics / Video Output Audio Output Human Interface Device (Controller) Game

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

Synthesizer. Team Members- Abhinav Prakash Avinash Prem Kumar Koyya Neeraj Kulkarni

Synthesizer. Team Members- Abhinav Prakash Avinash Prem Kumar Koyya Neeraj Kulkarni Synthesizer Team Members- Abhinav Prakash Avinash Prem Kumar Koyya Neeraj Kulkarni Project Mentor- Aseem Kushwah Project Done under Electronics Club, IIT Kanpur as Summer Project 10. 1 CONTENTS Sr No Description

More information

Connect Four Emulator

Connect Four Emulator Connect Four Emulator James Van Koevering, Kevin Weinert, Diana Szeto, Kyle Johannes Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester,

More information

Lab #10: Finite State Machine Design

Lab #10: Finite State Machine Design Lab #10: Finite State Machine Design Zack Mattis Lab: 3/2/17 Report: 3/14/17 Partner: Brendan Schuster Purpose In this lab, a finite state machine was designed and fully implemented onto a protoboard utilizing

More information

Capacitive Touch Sensing Tone Generator. Corey Cleveland and Eric Ponce

Capacitive Touch Sensing Tone Generator. Corey Cleveland and Eric Ponce Capacitive Touch Sensing Tone Generator Corey Cleveland and Eric Ponce Table of Contents Introduction Capacitive Sensing Overview Reference Oscillator Capacitive Grid Phase Detector Signal Transformer

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0 Introduction to Simulation of Verilog Designs For Quartus II 13.0 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

Lab 3 Final report: Embedded Systems Digital Potentiometer Subsystem TEAM: RAR

Lab 3 Final report: Embedded Systems Digital Potentiometer Subsystem TEAM: RAR Lab 3 Final report: Embedded Systems Digital Potentiometer Subsystem TEAM: RAR EE 300W, Section 6 Professor Tim Wheeler Rui Xia, Yuanpeng Liao and Ashwin Ramnarayanan Table of Contents Introduction...2

More information

Lab 3: Embedded Systems

Lab 3: Embedded Systems THE PENNSYLVANIA STATE UNIVERSITY EE 3OOW SECTION 3 FALL 2015 THE DREAM TEAM Lab 3: Embedded Systems William Stranburg, Sean Solley, Sairam Kripasagar Table of Contents Introduction... 3 Rationale... 3

More information

Lab 6 Using PicoBlaze. Speed Punching Game

Lab 6 Using PicoBlaze. Speed Punching Game Lab 6 Using PicoBlaze. Speed Punching Game In this lab, you will program a PicoBlaze microcontroller to interact with various VHDL components in order to implement a game. In this game, the FPGA will repeatedly

More information

Experiment 3. Direct Sequence Spread Spectrum. Prelab

Experiment 3. Direct Sequence Spread Spectrum. Prelab Experiment 3 Direct Sequence Spread Spectrum Prelab Introduction One of the important stages in most communication systems is multiplexing of the transmitted information. Multiplexing is necessary since

More information

Introduction to Simulation of Verilog Designs. 1 Introduction

Introduction to Simulation of Verilog Designs. 1 Introduction Introduction to Simulation of Verilog Designs 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an introduction to such

More information

ACEX 1K. Features... Programmable Logic Device Family. Tools

ACEX 1K. Features... Programmable Logic Device Family. Tools ACEX 1K Programmable Logic Device Family May 2003, ver. 3.4 Data Sheet Features... Programmable logic devices (PLDs), providing low cost system-on-a-programmable-chip (SOPC) integration in a single device

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

Simultaneous Co-Test of High Performance DAC-ADC Pairs May 13-28

Simultaneous Co-Test of High Performance DAC-ADC Pairs May 13-28 Simultaneous Co-Test of High Performance DAC-ADC Pairs Adviser & Client Members Luke Goetzke Ben Magstadt Tao Chen Aug, 2012 May, 2013 1 Agenda Project Description Project Design Test and Debug Results

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

EE307. Frogger. Project #2. Zach Miller & John Tooker. Lab Work: 11/11/ /23/2008 Report: 11/25/2008

EE307. Frogger. Project #2. Zach Miller & John Tooker. Lab Work: 11/11/ /23/2008 Report: 11/25/2008 EE307 Frogger Project #2 Zach Miller & John Tooker Lab Work: 11/11/2008-11/23/2008 Report: 11/25/2008 This document details the work completed on the Frogger project from its conception and design, through

More information

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 3157 Electrical Engineering Design II Fall 2013

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 3157 Electrical Engineering Design II Fall 2013 Exercise 1: PWM Modulator University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 3157 Electrical Engineering Design II Fall 2013 Lab 3: Power-System Components and

More information

MP212 Principles of Audio Technology II

MP212 Principles of Audio Technology II MP212 Principles of Audio Technology II Lab #2 DM2000 and Pro Tools Version 3, 06/07/2012 revised AR, previous versions: JMC, JL Version 4, 09/15/2013 revised AR Copyright 2007 Berklee College of Music.

More information

Computer Architecture Laboratory

Computer Architecture Laboratory 304-487 Computer rchitecture Laboratory ssignment #2: Harmonic Frequency ynthesizer and FK Modulator Introduction In this assignment, you are going to implement two designs in VHDL. The first design involves

More information

Overview. This lab exercise requires. A windows computer running Xilinx WebPack A Digilent board. Contains material Digilent, Inc.

Overview. This lab exercise requires. A windows computer running Xilinx WebPack A Digilent board. Contains material Digilent, Inc. Module 6: Combinational Circuit Blocks Revision: August 30, 2007 Overview This lab introduces several combinational circuits that are frequently used by digital designers, including a data selector (also

More information

Video switch for CANAL-Plus decoder

Video switch for CANAL-Plus decoder Video switch for CANAL-Plus decoder The BA7630S and BA7630F are decoder switching ICs for the scrambled broadcasts in France. The ICs include a 3- input multiplexer, -input multiplexers with amplifiers,

More information

IF ID EX MEM WB 400 ps 225 ps 350 ps 450 ps 300 ps

IF ID EX MEM WB 400 ps 225 ps 350 ps 450 ps 300 ps CSE 30321 Computer Architecture I Fall 2011 Homework 06 Pipelined Processors 75 points Assigned: November 1, 2011 Due: November 8, 2011 PLEASE DO THE ASSIGNMENT ON THIS HANDOUT!!! Problem 1: (15 points)

More information

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719, Volume 2, Issue 10 (October 2012), PP 54-58 Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator Thotamsetty

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1 Introduction to Simulation of Verilog Designs For Quartus II 11.1 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

FIR Filter for Audio Signals Based on FPGA: Design and Implementation

FIR Filter for Audio Signals Based on FPGA: Design and Implementation American Scientific Research Journal for Engineering, Technology, and Sciences (ASRJETS) ISSN (Print) 2313-4410, ISSN (Online) 2313-4402 Global Society of Scientific Research and Researchers http://asrjetsjournal.org/

More information

An FPGA-based Re-configurable 24-bit 96kHz Sigma-Delta Audio DAC

An FPGA-based Re-configurable 24-bit 96kHz Sigma-Delta Audio DAC An FPGA-based Re-configurable 24-bit 96kHz Sigma-Delta Audio DAC Ray C.C. Cheung 1, K.P. Pun 2, Steve C.L. Yuen 1, K.H. Tsoi 1 and Philip H.W. Leong 1 1 Department of Computer Science & Engineering 2 Department

More information

High-Speed Transceiver Toolkit

High-Speed Transceiver Toolkit High-Speed Transceiver Toolkit Stratix V FPGA Design Seminars 2011 3.0 Stratix V FPGA Design Seminars 2011 Our seminars feature hour-long modules on different Stratix V capabilities and applications to

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

Experiment 5: Basic Digital Logic Circuits

Experiment 5: Basic Digital Logic Circuits ELEC 2010 Laboratory Manual Experiment 5 In-Lab Procedure Page 1 of 5 Experiment 5: Basic Digital Logic Circuits In-Lab Procedure and Report (30 points) Before starting the procedure, record the table

More information

Embedded Test System. Design and Implementation of Digital to Analog Converter. TEAM BIG HERO 3 John Sopczynski Karim Shik-Khahil Yanzhe Zhao

Embedded Test System. Design and Implementation of Digital to Analog Converter. TEAM BIG HERO 3 John Sopczynski Karim Shik-Khahil Yanzhe Zhao Embedded Test System Design and Implementation of Digital to Analog Converter TEAM BIG HERO 3 John Sopczynski Karim Shik-Khahil Yanzhe Zhao EE 300W Section 1 Spring 2015 Big Hero 3 DAC 2 INTRODUCTION (KS)

More information

Lab 4: Using the CODEC

Lab 4: Using the CODEC Lab 4: Using the CODEC ECE 2060 Spring, 2016 Haocheng Zhu Gregory Ochs Monday 12:40 15:40 Date of Experiment: 03/28/16 Date of Submission: 04/08/16 Abstract This lab covers the use of the CODEC that is

More information

Week 8 AM Modulation and the AM Receiver

Week 8 AM Modulation and the AM Receiver Week 8 AM Modulation and the AM Receiver The concept of modulation and radio transmission is introduced. An AM receiver is studied and the constructed on the prototyping board. The operation of the AM

More information

Implementing Dynamic Reconfiguration in Cyclone IV GX Devices

Implementing Dynamic Reconfiguration in Cyclone IV GX Devices Implementing Dynamic Reconfiguration in Cyclone IV GX Devices AN-609-2013.03.05 Application Note Cyclone IV GX transceivers support the dynamic reconfiguration feature which provides a solution that allows

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

Design of an Active Noise Control System Using Combinations of DSP and FPGAs

Design of an Active Noise Control System Using Combinations of DSP and FPGAs Customer-Authored Application Note AC104 Design of an Active Control System Using Combinations of DSP and FPGAs Reza Hashemian, Senior Member IEEE Associate Professor, Northern Illinois University Field

More information

Campus Fighter. CSEE 4840 Embedded System Design. Haosen Wang, hw2363 Lei Wang, lw2464 Pan Deng, pd2389 Hongtao Li, hl2660 Pengyi Zhang, pnz2102

Campus Fighter. CSEE 4840 Embedded System Design. Haosen Wang, hw2363 Lei Wang, lw2464 Pan Deng, pd2389 Hongtao Li, hl2660 Pengyi Zhang, pnz2102 Campus Fighter CSEE 4840 Embedded System Design Haosen Wang, hw2363 Lei Wang, lw2464 Pan Deng, pd2389 Hongtao Li, hl2660 Pengyi Zhang, pnz2102 March 2011 Project Introduction In this project we aim to

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

Generating DTMF Tones Using Z8 Encore! MCU

Generating DTMF Tones Using Z8 Encore! MCU Application Note Generating DTMF Tones Using Z8 Encore! MCU AN024802-0608 Abstract This Application Note describes how Zilog s Z8 Encore! MCU is used as a Dual-Tone Multi- (DTMF) signal encoder to generate

More information

Optical Theremin CDR

Optical Theremin CDR William Cane Wissing James Jones Mackenzie Phelps EE 300w Sec 003 Abstract Optical Theremin CDR For this lab we created an optical theremin. A theremin is an electronic instrument controlled without any

More information

Design Implementation Description for the Digital Frequency Oscillator

Design Implementation Description for the Digital Frequency Oscillator Appendix A Design Implementation Description for the Frequency Oscillator A.1 Input Front End The input data front end accepts either analog single ended or differential inputs (figure A-1). The input

More information

Rotary Motion Servo Plant: SRV02. Rotary Experiment #02: Position Control. SRV02 Position Control using QuaRC. Student Manual

Rotary Motion Servo Plant: SRV02. Rotary Experiment #02: Position Control. SRV02 Position Control using QuaRC. Student Manual Rotary Motion Servo Plant: SRV02 Rotary Experiment #02: Position Control SRV02 Position Control using QuaRC Student Manual Table of Contents 1. INTRODUCTION...1 2. PREREQUISITES...1 3. OVERVIEW OF FILES...2

More information

SNGH s Not Guitar Hero

SNGH s Not Guitar Hero SNGH s Not Guitar Hero Rhys Hiltner Ruth Shewmon November 2, 2007 Abstract Guitar Hero and Dance Dance Revolution demonstrate how computer games can make real skills such as playing the guitar or dancing

More information

1. GENERAL DESCRIPTION FEATURES PIN DESCRIPTION BLOCK DIAGRAM... 5

1. GENERAL DESCRIPTION FEATURES PIN DESCRIPTION BLOCK DIAGRAM... 5 Table of Contents- 1. GENERAL DESCRIPTION... 2 2. FEATURES... 3 3. PIN DESCRIPTION... 4 4. BLOCK DIAGRAM... 5 5. ELECTRICAL CHARACTERISTICS... 5 5.1 Absolute Maximum Ratings... 5 5.2 D.C. Characteristics...

More information

How To Mac. Setup your Macintosh computer: Go to APPLICATIONS > UTILITIES > AUDIO MIDI SETUP Set the AUDIO DEVICE as shown to use the mlan NETWORK

How To Mac. Setup your Macintosh computer: Go to APPLICATIONS > UTILITIES > AUDIO MIDI SETUP Set the AUDIO DEVICE as shown to use the mlan NETWORK How To Mac Setting up a Network with the Yamaha 01X, Motif XS and Mac computer mlan TOOLS for Macintosh version 1.2.0 (November 2008) Motif XS w/mlan16e2 - Operating System version 1.55.xx, IEEE1394 Firmware

More information

ACEX 1K. Features... Programmable Logic Family. Tools. Table 1. ACEX TM 1K Device Features

ACEX 1K. Features... Programmable Logic Family. Tools. Table 1. ACEX TM 1K Device Features ACEX 1K Programmable Logic Family March 2000, ver. 1 Data Sheet Features... Programmable logic devices (PLDs), providing low cost system-on-a-programmable-chip integration in a single device Enhanced embedded

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Department of Electrical and Computer

More information

Lab 5. Binary Counter

Lab 5. Binary Counter Lab. Binary Counter Overview of this Session In this laboratory, you will learn: Continue to use the scope to characterize frequencies How to count in binary How to use an MC counter Introduction The TA

More information

Forensics with TI-NspireTM Technology

Forensics with TI-NspireTM Technology Forensics with TI-NspireTM Technology 2013 Texas Instruments Incorporated 1 education.ti.com Case 3 That Tune Science Objectives Identify the musical notes that make up the combination to a safe. Detect

More information

Terasic TRDB_D5M Digital Camera Package TRDB_D5M. 5 Mega Pixel Digital Camera Development Kit

Terasic TRDB_D5M Digital Camera Package TRDB_D5M. 5 Mega Pixel Digital Camera Development Kit Terasic TRDB_D5M Digital Camera Package TRDB_D5M 5 Mega Pixel Digital Camera Development Kit Document Version 1.2 AUG. 10, 2010 by Terasic Terasic TRDB_D5M Page Index CHAPTER 1 ABOUT THE KIT... 1 1.1 KIT

More information

EE 314 Spring 2003 Microprocessor Systems

EE 314 Spring 2003 Microprocessor Systems EE 314 Spring 2003 Microprocessor Systems Laboratory Project #9 Closed Loop Control Overview and Introduction This project will bring together several pieces of software and draw on knowledge gained in

More information

10. DSP Blocks in Arria GX Devices

10. DSP Blocks in Arria GX Devices 10. SP Blocks in Arria GX evices AGX52010-1.2 Introduction Arria TM GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring high data throughput. These SP

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

M-Powered Basics Guide

M-Powered Basics Guide M-Powered Basics Guide Version 6.8 for M-Powered Systems on Windows or Macintosh Digidesign 2001 Junipero Serra Boulevard Daly City, CA 94014-3886 USA tel: 650 731 6300 fax: 650 731 6399 Technical Support

More information

Lab 6. Binary Counter

Lab 6. Binary Counter Lab 6. Binary Counter Overview of this Session In this laboratory, you will learn: Continue to use the scope to characterize frequencies How to count in binary How to use an MC14161 or CD40161BE counter

More information

CSE 3215 Embedded Systems Laboratory Lab 5 Digital Control System

CSE 3215 Embedded Systems Laboratory Lab 5 Digital Control System Introduction CSE 3215 Embedded Systems Laboratory Lab 5 Digital Control System The purpose of this lab is to introduce you to digital control systems. The most basic function of a control system is to

More information

Laboratory set-up for Real-Time study of Electric Drives with Integrated Interfaces for Test and Measurement

Laboratory set-up for Real-Time study of Electric Drives with Integrated Interfaces for Test and Measurement Laboratory set-up for Real-Time study of Electric Drives with Integrated Interfaces for Test and Measurement Fong Mak, Ram Sundaram, Varun Santhaseelan, and Sunil Tandle Gannon University, mak001@gannon.edu,

More information

6. DSP Blocks in Stratix II and Stratix II GX Devices

6. DSP Blocks in Stratix II and Stratix II GX Devices 6. SP Blocks in Stratix II and Stratix II GX evices SII52006-2.2 Introduction Stratix II and Stratix II GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring

More information

CSEE 4840 Project Design A Tower Defense Game: SAVE CROPS

CSEE 4840 Project Design A Tower Defense Game: SAVE CROPS CSEE 4840 Project Design A Tower Defense Game: SAVE CROPS Team Members: Liang Zhang (lz2460) Ao Li (al3483) Chenli Yuan (cy2403) Dingyu Yao (dy2307) Introduction: In this project, we plan to design and

More information

Move-O-Phone Movement Controlled Musical Instrument ECE 532 Project Group Report

Move-O-Phone Movement Controlled Musical Instrument ECE 532 Project Group Report James Durst ( Stuart Byma ( Cyu Yeol (Brian) Rhee ( April 4 th, 2011 Move-O-Phone Movement Controlled Musical Instrument ECE 532 Project Group Report Table of Contents 1 Overview... 1 1.1 Project Motivation...

More information

EE 209 Lab Range Finder

EE 209 Lab Range Finder EE 209 Lab Range Finder 1 Introduction In this lab you will build a digital controller for an ultrasonic range finder that will be able to determine the distance between the range finder and an object

More information

Interfacing to the SoundStation VTX 1000 TM with Vortex Devices

Interfacing to the SoundStation VTX 1000 TM with Vortex Devices Interfacing to the SoundStation VTX 1000 TM with Vortex Devices Application Note Polycom Installed Voice Business Group September 2004 Rev. F TABLE OF CONTENTS TABLE OF CONTENTS... 2 INTRODUCTION... 6

More information

SynthNV - Signal Generator / Power Detector Combo

SynthNV - Signal Generator / Power Detector Combo SynthNV - Signal Generator / Power Detector Combo The Windfreak SynthNV is a 34.4MHz to 4.4GHz software tunable RF signal generator controlled and powered by a PC running Windows XP, Windows 7, or Android

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

Digital Signal Processing for Analog Input

Digital Signal Processing for Analog Input Digital Signal Processing for Analog Input Arnav Agharwal Saurabh Gupta April 25, 2009 1 Algorithm Details Design Specification Fast Fourier Transform uses the following recursive formulae X k = N 2 1

More information

Name That Tune: Matching musical tones through waveform analysis

Name That Tune: Matching musical tones through waveform analysis Case File 3 Name That Tune: Matching musical tones through waveform analysis Identify musical notes based on their frequencies. Capt. Ramirez: On Tuesday night, wealthy recluse Tajia Winslow was robbed

More information

MARMARA UNIVERSITY CSE315 DIGITAL DESIGN LABORATORY MANUAL. EXPERIMENT 7: Analog-to-Digital Conversion. Research Assistant Müzeyyen KARAMANOĞLU

MARMARA UNIVERSITY CSE315 DIGITAL DESIGN LABORATORY MANUAL. EXPERIMENT 7: Analog-to-Digital Conversion. Research Assistant Müzeyyen KARAMANOĞLU MARMARA UNIVERSITY CSE315 DIGITAL DESIGN LABORATORY MANUAL EXPERIMENT 7: Analog-to-Digital Conversion Research Assistant Müzeyyen KARAMANOĞLU Electrical&Electronics Engineering Department Marmara University

More information

Controlling Your Robot

Controlling Your Robot Controlling Your Robot The activities on this week are about instructing the Boe-Bot where to go and how to get there. You will write programs to make the Boe-Bot perform a variety of maneuvers. You will

More information

RC Filters and Basic Timer Functionality

RC Filters and Basic Timer Functionality RC-1 Learning Objectives: RC Filters and Basic Timer Functionality The student who successfully completes this lab will be able to: Build circuits using passive components (resistors and capacitors) from

More information

IF ID EX MEM WB 400 ps 225 ps 350 ps 450 ps 300 ps

IF ID EX MEM WB 400 ps 225 ps 350 ps 450 ps 300 ps CSE 30321 Computer Architecture I Fall 2010 Homework 06 Pipelined Processors 85 points Assigned: November 2, 2010 Due: November 9, 2010 PLEASE DO THE ASSIGNMENT ON THIS HANDOUT!!! Problem 1: (25 points)

More information

Using ProASIC PLUS Clock Conditioning Circuits

Using ProASIC PLUS Clock Conditioning Circuits Application Note Using ProASIC PLUS Clock Conditioning Circuits Introduction The ProASIC PLUS devices include two clock-conditioning circuits on opposite sides of the die. Each clock conditioning circuit

More information

AC : PERSONAL LAB HARDWARE: A SINE WAVE GENERATOR, LOGIC PULSE SIGNAL, AND PROGRAMMABLE SYNCHRONOUS SERIAL INTERFACE FOR ENHANCING EDUCATION

AC : PERSONAL LAB HARDWARE: A SINE WAVE GENERATOR, LOGIC PULSE SIGNAL, AND PROGRAMMABLE SYNCHRONOUS SERIAL INTERFACE FOR ENHANCING EDUCATION AC 2010-1527: PERSONAL LAB HARDWARE: A SINE WAVE GENERATOR, LOGIC PULSE SIGNAL, AND PROGRAMMABLE SYNCHRONOUS SERIAL INTERFACE FOR ENHANCING EDUCATION Jeffrey Richardson, Purdue University James Jacob,

More information

DSP Project. Reminder: Project proposal is due Friday, October 19, 2012 by 5pm in my office (Small 239).

DSP Project. Reminder: Project proposal is due Friday, October 19, 2012 by 5pm in my office (Small 239). DSP Project eminder: Project proposal is due Friday, October 19, 2012 by 5pm in my office (Small 239). Budget: $150 for project. Free parts: Surplus parts from previous year s project are available on

More information

Digital Guitar Effects Box

Digital Guitar Effects Box Digital Guitar Effects Box Jordan Spillman, Electrical Engineering Project Advisor: Dr. Tony Richardson April 24 th, 2018 Evansville, Indiana Acknowledgements I would like to thank Dr. Richardson for advice

More information

(SUBSINO CASINO GAME HIGH RESOLUTION SERIES)

(SUBSINO CASINO GAME HIGH RESOLUTION SERIES) ALADDIN (SUBSINO CASINO GAME HIGH RESOLUTION SERIES) Discover the excitement of fast-hitting video slot plus two progressive jackpots. Players will be flying with the gliding carpet of Aladdin! Players

More information

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT Jennifer Nappier (Jennifer.M.Nappier@nasa.gov); Joseph Downey (Joseph.A.Downey@nasa.gov); NASA Glenn Research Center, Cleveland, Ohio, United States Dale Mortensen

More information

University of California at Berkeley Donald A. Glaser Physics 111A Instrumentation Laboratory

University of California at Berkeley Donald A. Glaser Physics 111A Instrumentation Laboratory Published on Instrumentation LAB (http://instrumentationlab.berkeley.edu) Home > Lab Assignments > Digital Labs > Digital Circuits II Digital Circuits II Submitted by Nate.Physics on Tue, 07/08/2014-13:57

More information