BeRadio SDR Lab & Demo

Size: px
Start display at page:

Download "BeRadio SDR Lab & Demo"

Transcription

1 BeRadio SDR Lab & Demo 1. Overview This lab demonstrates a rudimentary AM radio on the BeRadio Software Defined Radio (SDR) development board together with the BeMicroSDK FPGA-based MCU evaluation board. The radio receives the AM signal through a built-in antenna, converts it to a digital signal, filters and decodes it in the FPGA, and then plays the decoded audio through a headphone jack. You will build the AM radio and then experiment with some design features of the radio. 2. Getting Started This section ensures you have the right equipment to complete the lab and shows you how to install the software needed. The lab requires the following resources: BeRadio SDR development board BeMicroSDK FPGA-based MCU evaluation board PC running Quartus II web edition USB-Blaster device driver for the PC BeRadio Lab design and Quartus II project files Earbud headphones 2.1. BeRadio SDR Development Board The BeRadio SDR development board, shown in Figure 1, is a daughter card that plugs into the BeMicroSDK evaluation board via the 80-pin edge connector. Figure 1 BeRadio SDR Development Board Zephyr Engineering, Inc 1 Altera Corporation

2 As shown in Figure 2, the BeRadio consists of an analog filter preceding a Linear Technology LTC2225 analog-to-digital converter (ADC) plus a Linear Technology LTC1641 digital-to-analog converter (DAC). Filter (LTC6409) Filter (LTC6200) ADC (LTC2225) DAC (LTC2641) data control clock SPI control 80-pin Edge Connector Figure 2: BeRadio Block Diagram 2.2. BeMicroSDK Evaluation Board The BeMicro SDK evaluation board, pictured in Figure 3, is a low-cost development platform featuring an Altera Cyclone IV FPGA. The board also features DDR RAM, 10/100 ethernet, pushbuttons, DIP switches, LEDs, an 80-pin edge connector for attaching expansion boards, a micro-sd card socket, and a temperature sensor. It plugs into the USB port of a PC for power and communication for configuring the FPGA and programming the on-board EPCS16 FLASH memory. The block diagram is shown in Figure 4. Figure 3: BeMicroSDK FPGA-Based MCU Evaluation Board Zephyr Engineering, Inc 2 Altera Corporation

3 Figure 4: BeMicroSDK Block Diagram 2.3. Quartus II Web Edition Quartus II is the Altera synthesis and compilation tool used with Altera FPGAs. If Quartus II has not already been installed on your lab PC, follow these instructions to install it. Zephyr Engineering, Inc 3 Altera Corporation

4 1. Go to the Altera Download web page at Click the Download Windows Version button. 2. Login to your myaltera account. Use your existing login or fill out the Get One-Time Access form. 3. The Altera Installer executable should start downloading automatically. If not, follow the instructions on the page to download it manually. This could happen if you have your browser set to block pop-up windows. Zephyr Engineering, Inc 4 Altera Corporation

5 4. Run the Altera Installer and proceed to the Installer Setup page. Select the Download Installation Files from the Internet radio button and proceed to the Select Products page. Zephyr Engineering, Inc 5 Altera Corporation

6 5. For components to install, the only component you need is the Quartus II Software Web Edition; under the Device Families group, only Cyclone IV E needs to be selected. No other components or devices are required, although you may install them if you wish USB-Blaster Device Driver To install the USB-Blaster device driver, plug the BeMicroSDK board into a USB port on your PC. The PC will detect the new hardware and run the Found New Hardware Wizard to prompt for installation of the driver. Follow these instructions to install it on a Windows XP system: Zephyr Engineering, Inc 6 Altera Corporation

7 1. Select the Install from a list or specific location (Advanced) radio button and click Next. Zephyr Engineering, Inc 7 Altera Corporation

8 2. Select the Search for the best driver in these locations radio button and check the Include this location in the search checkbox. 3. Click the Browse button and navigate to <install_directory>\quartus\drivers\usb-blaster, where <install_directory> is the location you specified while installing Quartus II, and click Next. Zephyr Engineering, Inc 8 Altera Corporation

9 4. If you get a warning that the driver has not passed Windows logo testing, click Continue Anyway BeRadio Lab Files Download the BeRadio.zip ZIP archive from the web page to a folder on your PC. Extract the contents of the ZIP archive into a working directory. This will be your Quartus II project directory. Table 1 lists the files contained in the ZIP archive. Zephyr Engineering, Inc 9 Altera Corporation

10 Table 1: BeRadio Lab File List File am_demod.v binary_to_bcd.v cos.hex digit_display.v freq_phase_cntrs.v lut_cos.v lut_sin.v nco.v output_fir.v output_fir_rom.hex output_fir_rom.v ram_2_port_rden.v sat_rnd.v sin.hex spi_if.v sqrt.qip sqrt.v sysclk_pll.ppf sysclk_pll.qip sysclk_pll.v udpsdr_hf0.cdf udpsdr_hf0.qpf udpsdr_hf0.qsf udpsdr_hf0.sdc udpsdr_hf0.stp udpsdr_hf0.v z_cic.v Description AM Demodulator design file Binary-to-BCD converter design file Memory contents of cosine LUT LED display controller design file Frequency and phase increment counters design file Cosine LUT design file (generated by MegaWizard) Sine LUT design file (generated by MegaWizard) NCO design file Output FIR filter design file Memory contents for coefficient ROM Output FIR coefficient ROM design file 2-port RAM design file Saturation/rounding function design file Memory contents of sine LUT SPI interface design file Square root Megafunction IP variation file (generated by MegaWizard) Square root Megafunction design file (generated by MegaWizard) PLL Megafunction Pin Planner ports file (generated by MegaWizard) PLL Megafunction IP variation file (generated by MegaWizard) PLL Megafunction design file (generated by MegaWizard) BeRadio SDR Quartus II Programmer Chain Description File BeRadio SDR Quartus II project file BeRadio SDR Quartus II settings file BeRadio SDR Synopsys design constraints file SignalTap file BeRadio SDR design file CIC filter design file 2.6. EarBud Headphones The BeRadio board has a headphone jack for playing audio. Plug a set of EarBud headphones into the jack so you can hear the output of your AM radio. 3. BeRadio SDR FPGA Design This section describes the BeRadio FPGA design and shows you how to compile the design and program the FPGA on the BeMicroSDK Verilog Design Files The BeRadio FPGA design is shown in Figure 5. The ADC provides samples at 10 Mega-samples per second (Msps). These samples are first mixed with cosine and sine waves generated by a numerically Zephyr Engineering, Inc 10 Altera Corporation

11 controlled oscillator (NCO); the frequency of the waveforms is determined by a phase increment that may be increased or decreased to tune the radio to different AM frequencies. The radio defaults to 550 khz and may be tuned up or down in 10 khz steps. ADC data cos, sin CIC 1 NCO (LPF) 10 Msps (one sample every clock) ksps (one sample every 25 clocks) CIC 2 (LPF) Demod 50 ksps (one sample every 200 clocks) FIR (LPF) SPI I/F DAC data Figure 5: BeRadio FPGA Design Block Diagram The output of the mixing operation is then down-sampled to 50 kilo-samples per second (ksps) using a pair of cascaded integrator-comb (CIC) filters. These filters are very efficient for large interpolation or decimation ratios; they also act as coarse low-pass filters (LPFs). The filter design included in the lab allows you to specify the decimation ratio and the number of integrator-comb stages. More stages means better performance but also means more resources used. The resources used increases by the Log base 2 of the decimation and by the square of the number of stages. As a trade-off, the BeRadio design uses two CIC filters, one with a high decimation ratio but fewer stages to get the sample rate down quickly and another with a low decimation rate but more stages to improve performance. The down-sampled signal is then demodulated. The AM radio waveform encodes information by modulating the amplitude of the signal; thus, we demodulate the signal by finding the amplitude of the received samples. The amplitude A is calculated by the equation component of the signal and Q is the quadrature component. A = I Q, where I is the in-phase The demodulated signal is then filtered using a low-pass FIR filter. This filter eliminates out-of-band noise. FIR filters are more effective at filtering the pass band than CIC filters. The resulting samples are then sent to the DAC by serially shifting the bits in each sample over a SPI bus interface Quartus II Settings The Quartus II Settings File (QSF) contains settings entered either manually or using the Assignments Editor or Pin Planner tools in Quartus II. For this project, the settings file specifies the design files, pin assignments, and pin properties. No edits are required in this file for this lab. Zephyr Engineering, Inc 11 Altera Corporation

12 3.3. Timing Constraints Project timing constraints are specified in the Synopsys Design Constraints (SDC) file. This file defines the clocks used in the design and specifies the delay on the I/O pins external to the FPGA. The BeRadio allows you to clock the ADC using either the on-board 10 MHz oscillator or a generated clock from the FPGA. The current design uses the on-board oscillator. Whichever clock source you choose, a copy of that clock is sent back to the FPGA to be used as a sample clock. The design also defines the clock output for the DAC SPI interface. Thus, the SDC file defines six (6) clocks total: clk50: The original 50 MHz input clock from the BeMicro adc_clk_in: The 10 MHz output clock generated by a PLL going to the BeRadio (currently not used) adc_clk: 10 MHz virtual clock defined at the ADC clock input adc_clk_out: 10 MHz clock input from the BeRadio dac_sclk: 5 MHz clock generated for SPI interface, defined at the output of the register P26: 5 MHz SPI interface clock at the FPGA output port The input pins from the ADC are constrained by specifying all the delays external to the FPGA using the set_input_delay command. The TimeQuest timing analyzer will subtract these delays from the clock period to determine the maximum allowed setup and hold times on the inputs. The delays are as follows: Clock skew. Positive clock skew is defined as the delay from the FPGA clock input port to the ADC clock input pin. In this case there is an extra delay going to the FPGA clock input port so the clock skew is negative. Clock-to-output delay. This is the delay from the external clock edge to the output data being valid at the ADC pins. Trace delay. This is the delay from the ADC output data being valid on the ADC pins to their being valid on the FPGA pins. The maximum input delay is the sum of the maximum clock skew and clock-to-output and trace delays. The minimum input delay is the sum of the minimums of these same parameters. The clock is inverted at the input to the ADC; the virtual clock is not defined this way, so this inversion is accounted for by specifying that the ADC outputs are produced on the falling edge of the virtual clock. The output pins to the DAC are constrained by specifying all the delays external to the FPGA using the set_output_delay command. The TimeQuest timing analyzer will subtract these delays from the clock Zephyr Engineering, Inc 12 Altera Corporation

13 period to determine the maximum allowed clock-to-output times on the outputs. Since the SPI clock pin is the clock, it does not need to be constrained with regard to itself. The SPI data pin needs to be constrained with respect to the SPI clock pin. Since the SPI bus data and clock signal are generated from the internal ADC clock, and since the SPI bus clock is half the frequency of the ADC clock, the timing constraints may be relaxed. The SPI bus data are launched on the falling edge of the SPI bus clock and latched on the rising edge of the SPI bus clock. TimeQuest automatically considers the worst-case pairings of launch and latch clock edges. For the default setup time analysis, the latch clock is one ADC clock period after the launch clock; this is correct since the ADC clock edge that produced the data also produced the falling edge of the SPI clock and the following ADC clock produces the rising edge of the SPI clock. For the default hold time analysis, the launch and latch clock edges are the same. This scenario cannot happen since new data are launched on the ADC clock edge following the one that generated the previous SPI clock rising edge. Thus, a startmulticycle-hold constraint is added to reflect the more relaxed timing constraint. Output ports that are treated as asynchronous do not need to be analyzed by TimeQuest. For instance, some of the outputs going to the ADC configuration pins are fixed at a given value. Push-button inputs and LED outputs are inherently asynchronous. The set_false_path command removes these paths from the timing analysis. Also, the set_clock_groups command specifies groups of clocks such that clocks within a group are synchronous to one another but asynchronous to clocks in other groups; this implicitly removes any paths between clocks from different groups from the timing analysis Synthesis and Compilation Open Quartus II Web Edition and choose Open Project from the File pull-down menu. Navigate to the directory where you unzipped the design file archive and select the Quartus II Project File (udpsdr_hf0.qpf). This opens the AM radio project in Quartus II. Choose Start Compilation from the Processing pull-down menu to initiate synthesis and compilation (or click the maroon triangle in the toolbar, or press Ctrl-L). You will get up to ten (10) warnings that may be ignored: Analysis and Synthesis Warnings: o 20028: Parallel compilation is not licensed and has been disabled. The Web Edition of the Quartus II software does not support parallel processing; a licensed version of Quartus II will not produce this message. o 14284: Synthesized away the following node(s). Quartus II optimizes the design by removing logic that does not drive any subsequent logic. For instance, the FIR filter has two output channels but only one channel is used; the outputs for the second channel are not connected, so Quartus II optimizes away the logic for that channel. Zephyr Engineering, Inc 13 Altera Corporation

14 o 13024: Output pins are stuck at VCC or GND. Some of the control outputs are fixed at logic high or low, so this is normal. o 21074: Design contains 44 input pin(s) that do not drive logic. All of the pins going to the FPGA are defined in the project port list, but not all of them are used. Fitter Warnings: o 20028: Parallel compilation is not licensed and has been disabled. The Web Edition of the Quartus II software does not support parallel processing; a licensed version of Quartus II will not produce this message. Occurs three (3) times. o : Feature LogicLock is only available with a valid subscription license. The Web Edition of the Quartus II software does not support LogicLogic; a licensed version of Quartus II will not produce this message. o 15064: PLL... feeds output pin "P2~output" via non-dedicated routing. None of the dedicated PLL output ports are routed to the 80-pin expansion connector, so the output clock to the ADC must use non-dedicated routing. o : 69 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. This is just a reminder about proper termination of high-speed data lines and may be ignored. o : Following 24 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results. Some of the RAM interface ports are bi-directional and produce this warning when fixed to a given value. TimeQuest Warnings: o 20028: Parallel compilation is not licensed and has been disabled. The Web Edition of the Quartus II software does not support parallel processing; a licensed version of Quartus II will not produce this message. Occurs three (3) times Programming the BeMicro Follow these steps to program the image you just compiled into the Cyclone IV FPGA on the BeMicroSDK. 1. Make sure the BeRadio board is plugged into the 80-pin expansion connector on the BeMicroSDK board. 2. Plug the BeMicroSDK into a USB port on your PC. 3. Open the Quartus II Programmer by choosing Programmer from the Tools pull-down menu. Zephyr Engineering, Inc 14 Altera Corporation

15 4. If you don t see USB-Blaster next to the Hardware Setup button, click the button. Otherwise, skip to step 6. Zephyr Engineering, Inc 15 Altera Corporation

16 5. Double-click USB-Blaster and click Close. Zephyr Engineering, Inc 16 Altera Corporation

17 6. If no devices are listed in the window, click Auto Detect. Otherwise, skip to step 8. Zephyr Engineering, Inc 17 Altera Corporation

18 7. If no file is listed for the device, select the device and click Change File. Navigate to udpsdr_hf0.sof in your Quartus II project directory and click Open. Zephyr Engineering, Inc 18 Altera Corporation

19 8. Make sure the Program/Configure checkbox is checked and then click Start to program the device. 4. Operating the BeRadio SDR AM Radio Now that your BeMicroSDK is programmed with the AM radio design, LED1 should be flashing slowly; this is the heartbeat LED. Plug your earbud headphones into the headphone jack on the BeRadio SDR. The radio defaults to 550 khz; if there is a local station broadcasting at 550 khz, you should hear it now Tuning the Radio Check the position of SW1 DIP switch on the BeMicroSDK. If it s in the OFF position (the switch is on the side of the USB connector and LED3 is off), then pressing the User pushbutton on the BeMicroSDK tunes the radio to a frequency 10 khz higher. If SW1 is in the ON position (the switch is on the side of the 80- pin expansion connector and LED3 is on), then pressing the User pushbutton on the BeMicroSDK tunes the radio to a frequency 10 khz lower. Zephyr Engineering, Inc 19 Altera Corporation

20 You will also notice that LEDs 5 through 7 start flashing when you change the frequency. The sequence of flashes indicates the current frequency. There is a slight delay before the start of the sequence so it won t start right away if you press the button multiple times. The sequence is timed using the heartbeat LED. When you release the push-button, all four LEDs flash on for half the duration of the heartbeat LED. Then, every time the heartbeat LED flashes on, one digit of the frequency is displayed on frequency LEDs. The digits are encoded in binary-coded decimal (BCD) and are displayed most significant digit first and least significant digit last. For instance, the first time you press the User push-button, the frequency will change to 560 khz, so the LEDs will display the digits 0, 5, 6, and 0 in order. After the final digit is displayed, all four LEDs flash on for half the duration of the heartbeat LED. Experiment with tuning up and down until you find some more AM radio stations. To return to the default frequency of 550 khz, press the Reset push-button on the BeMicroSDK. Increasing the frequency above 1700 khz causes the BeRadio to roll over to 500 khz; decreasing the frequency below 500 khz causes the BeRadio to roll over to 1700 khz. Note: If you accidentally press the RECFG button, the FPGA will be re-configured with data from the onboard PROM. Repeat step 8 in section 3.5 to re-program with the BeRadio design Improving the Reception With the radio tuned to a strong local station, try moving your hand close to the antenna on the BeRadio board. Try touching the antenna with your finger. Does the audio get louder? Does it sound clearer? Experiment with various ways of changing the size and geometry of the antenna and note the change in the audio. A better antenna results in a stronger signal; a stronger signal results in louder, clearer audio. Keep an eye on LED 2 on the BeMicroSDK. This LED is attached to the overflow bit from the ADC; the LED comes on when the analog signal is too strong and overflows the digital output. This will cause distortion in your audio SignalTap Open the SignalTap file for the project by going to the Files tab in the Project Navigator window in Quartus II and double-clicking the udpsdr_hf0.stp file. Click the Run Analysis button (or press F5) and look at the waveforms for z_nco:z_nco_inst fcos and z_nco:z_nco_inst fsin. Select these two waveforms and, on the right-mouse-button menu, select Bus Display Format? Signed Line Chart. These signals should look like cosine and sine waves. Now press the User pushbutton on the BeMicroSDK a few times and run the analysis again; how did the cosine and sine waves change? Also, look at how the waveforms on the bottom of the SignalTap screen don t change value as often as the waveforms at the top. This is because of the decimation done in the CIC filters. The outputs of the first CIC filter (z_cic:z_cic1_inst out1_data and z_cic:z_cic1_inst out2_data) change only once for every 25 changes to i_data and q_data; the outputs of the second CIC filter (z_cic:z_cic2_inst out1_data and z_cic:z_cic2_inst out2_data) change only once for every 8 changes to the first CIC output. Zephyr Engineering, Inc 20 Altera Corporation

21 Now zero in on the signals at the very bottom of the SignalTap window. This is where data are shifted out to the DAC using the SPI bus. Observe that for every output of the FIR filter (output_fir:output_fir_inst strobe_out high), the ch1_out value of the FIR is transferred to shifter and then shifted out through spi_if:spi_if_inst shifter(15), which is the SPI data pin Changing the Default Channel Open the top-level design file udpsdr_hf0.v and search for Default frequency (line 214): parameter START_FREQ_KHZ = 550; // Default frequency at reset or power-up This is the line that defines the default starting frequency, which you can customize for your current location. Pick the frequency of a strong local station (or get one from the instructor) and replace the value 550 with your chosen frequency. Then, repeat the steps above for synthesis, compilation, and programming (Hint: you may want to make a copy of the existing udpsdr_hf0.sof file before compiling so you can switch back and forth between old and new images. Be sure to give the copy a meaningful name so you know what the difference is. It s a good idea to do this before every new compile). When you program your new image into the FPGA, does the radio start out tuned to your local station? 4.5. Changing the Number of CIC Filter Stages Now let s try changing the characteristics of the radio. Recall from the CIC filter section that the performance of the CIC filters and the resources used by them depends on the number of stages. Experiment with changing the number of CIC filter stages and see how that affects the resources used by the filter. We can see the resources used by each component by looking in the Compilation Report; if the report isn t already up, you may see it by pressing Ctrl-R. In the report, look under Fitter? Resource Section? Resource Utilization by Entity. There are two CIC filter components: z_cic:z_cic1_inst z_cic:z_cic2_inst Note that the CIC filters show resources used in the Logic Cells column but not the M9Ks or DSP Elements columns. Write down the number of cells used by each filter. Now go back to the top-level design file (udpsdr_hf0.v). Search for Decimation and Filtering, which takes you to the section of the design where the CIC filters are instantiated (line 517). Each instantiation specifies the number of stages to implement using the N_STAGES parameter. In Verilog, parameters are passed to components in a list indicated by the pound (#) symbol, such as in this instantiation of the first CIC filter starting on line 551: Zephyr Engineering, Inc 21 Altera Corporation

22 z_cic #(.IN_SIZE (22),.OUT_SIZE (23),.N_STAGES (5),.DEC_RATE (25) ) z_cic1_inst (.clk (adc_clk_out),.reset_n (reset_n),.instrobe (1'b1),.in1_data (cic_in_i),.in2_data (cic_in_q),.outstrobe (cic1_strb),.out1_data (cic1_i),.out2_data (cic1_q)); You can see from the bold text that this instantiation specifies a 5-stage filter. The second CIC filter has fourteen (14) stages. Notice from the numbers you found in the Fitter Report that the filter with 14 stages uses a lot more resources than the one with 5 stages. Experiment with changing the number of stages in each filter by changing the values in parentheses for N_STAGES. Synthesize, compile, and program as before; don t forget to make a copy of udpsdr_hf0.sof. How does it affect the resource usage? How does it affect the sound quality? 4.6. Changing the DAC Sample Rate The DAC sample rate is determined by the decimation factors in the CIC filters; each filter creates a strobe when its output is valid and this strobe triggers the following logic. Changing the decimation rate changes the rate at which output samples are produced. The decimation rate of each CIC filter may be changed by changing the value in the parentheses for the DEC_RATE parameter, as shown by the bold text here: z_cic #(.IN_SIZE (22),.OUT_SIZE (23),.N_STAGES (5),.DEC_RATE (25) ) z_cic1_inst (.clk (adc_clk_out),.reset_n (reset_n),.instrobe (1'b1),.in1_data (cic_in_i),.in2_data (cic_in_q),.outstrobe (cic1_strb),.out1_data (cic1_i),.out2_data (cic1_q)); Zephyr Engineering, Inc 22 Altera Corporation

23 Currently, the first filter decimates by 25 and the second by 8. The first filter inputs a new sample on every clock cycle; thus, the second filter inputs a new sample once every 25 clock cycles and outputs a new sample every 200 clock cycles. The FIR filter requires 133 clock cycles to process each output sample, so the overall CIC filter decimation rate cannot be lower than 133. If you change the decimation rate of the second filter to 6, then the overall decimation rate is 6 x 25 = 150 as shown in Figure 6, so the FIR filter still has time to work. Changing the value to 5 results in an overall decimation rate of 125, so that would not work. 10 Msps 400 ksps 50 ksps One sample every clock 25 One sample 8 every 25 clocks One sample every 200 clocks 10 Msps 400 ksps 66.7 ksps One sample every clock 25 One sample 6 every 25 clocks One sample every 150 clocks 10 Msps 400 ksps 80 ksps One sample every clock 25 One sample 5 every 25 clocks One sample every 125 clocks Figure 6: Sample Decimation Illustration Experiment with changing the decimation rate of each CIC filter, taking care to keep the overall decimation rate above 133. Again, simply change the values in parentheses for DEC_RATE, synthesize, compile, and program. How does the resource usage change with decimation rate? How does it affect the sound quality? Zephyr Engineering, Inc 23 Altera Corporation

24 4.7. Changing Bit Selections One of the most difficult decisions to make in digital design is choosing which bits to keep and which to throw away. Simply adding two 16-bit values together produces a 17-bit output; multiplying two 16- values produces a 32-bit output. If you don t start throwing away bits, your data paths will quickly grow to unmanageable sizes. When you decide to discard bits, you then have to decide which bits to discard. You can either discard bits on the right (the least significant bits, or LSBs) or bits on the left (the most significant bits, or MSBs). Dropping LSBs sacrifices precision and dropping MSBs sacrifices dynamic range. Dropping too many of either causes distortion. In the BeRadio FPGA design, the sat_rnd component is used to drop bits. To mitigate the distortion, rounding is performed when dropping LSBs and saturation is performed when dropping MSBs. The component has three parameters: the number of bits in the input (IN_SIZE), the number of LSBs to discard (TRUNC_SIZE), and the number of bits to keep in the output (OUT_SIZE). The difference between IN_SIZE and the sum of TRUNC_SIZE and OUT_SIZE is the number of MSBs to discard. As with the CIC filter, the parameters are passed to the component at instantiation. For instance, the 12- bit data coming from the ADC are multiplied by 16-bit cosine and sine values from the NCO to produce a 28-bit output. The first CIC filter has only a 22-bit input, so we need to discard six (6) bits. Currently, five LSBs and one MSB are dropped. This is shown by the bold text in this example instantiation of sat_rnd on line 540 of the top-level design file: sat_rnd #(.IN_SIZE (28),.TRUNC_SIZE (5),.OUT_SIZE (22) ) sat_rnd_cic_inst (.d1 (i_data),.d2 (q_data),.q1 (cic_in_i),.q2 (cic_in_q)); Try dropping more MSBs and fewer LSBs by changing the TRUNC_SIZE values. Synthesize, compile, and program as before. What happens to your AM radio signal? Experiment with changing the antenna size and geometry by touching it as before; is there more or less distortion than before? 4.8. Advanced Activities There are many ways to change the behavior of the radio. Here are some activities for the more advanced user. Zephyr Engineering, Inc 24 Altera Corporation

25 1. Try instantiating two different combinations of CIC filters at the same time. Use the RECONFIG_SW2 input to switch between the two combinations in real time. This way you can compare the results directly without having to reprogram or recompile. You ll have to create some intermediate signals to do the multiplexing. 2. Try removing the FIR filter and see how that affects the sound quality. Again, you can use RECONFIG_SW2 to multiplex the FIR output with the FIR input so you can compare the results directly. The FIR filter uses M9K RAM blocks and DSP elements as well as logic cells; how many does it use? How does it affect the sound quality? BeRadio SDR Lab & Demo - Rev 24 Oct 2012 Zephyr Engineering, Inc 25 Altera Corporation

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices

Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices Techniques for Implementing Multipliers in Stratix, Stratix GX & Cyclone Devices August 2003, ver. 1.0 Application Note 306 Introduction Stratix, Stratix GX, and Cyclone FPGAs have dedicated architectural

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Managing Metastability with the Quartus II Software

Managing Metastability with the Quartus II Software Managing Metastability with the Quartus II Software 13 QII51018 Subscribe You can use the Quartus II software to analyze the average mean time between failures (MTBF) due to metastability caused by synchronization

More information

Using the CODEC ReadMeFirst

Using the CODEC ReadMeFirst Using the CODEC ReadMeFirst Lab Summary This lab covers the use of the CODEC that is necessary in nearly all of the future labs. This lab is divided into three parts. In the first part, you will work with

More information

The Audio Synthesizer

The Audio Synthesizer The Audio Synthesizer Lab Summary In this laboratory, you will construct an audio synthesizer. The synthesizer generates signals for various tones that you will use for your Simon push buttons and win/lose

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Implementing VID Function with Platform Manager 2

Implementing VID Function with Platform Manager 2 September 2017 Introduction Application Note AN6092 High performance systems require precise power supplies to compensate for manufacturing and environmental variations. Voltage Identification (VID) is

More information

10. DSP Blocks in Arria GX Devices

10. DSP Blocks in Arria GX Devices 10. SP Blocks in Arria GX evices AGX52010-1.2 Introduction Arria TM GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring high data throughput. These SP

More information

Digital Downconverter (DDC) Reference Design. Introduction

Digital Downconverter (DDC) Reference Design. Introduction Digital Downconverter (DDC) Reference Design April 2003, ver. 2.0 Application Note 279 Introduction Much of the signal processing performed in modern wireless communications systems takes place in the

More information

6. DSP Blocks in Stratix II and Stratix II GX Devices

6. DSP Blocks in Stratix II and Stratix II GX Devices 6. SP Blocks in Stratix II and Stratix II GX evices SII52006-2.2 Introduction Stratix II and Stratix II GX devices have dedicated digital signal processing (SP) blocks optimized for SP applications requiring

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Quick Start Guide. TWR-MECH Mechatronics Board TOWER SYSTEM

Quick Start Guide. TWR-MECH Mechatronics Board TOWER SYSTEM TWR-MECH Mechatronics Board TOWER SYSTEM Get to Know the Tower Mechatronics Board Primary Connector / Switch MCF52259 Connectors for Up to Eight Servos SW4 (Reset) USB OTG 5V Supply Touch Panel Socket

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

Zybo Z7 Pcam 5C Demo

Zybo Z7 Pcam 5C Demo Zybo Z7 Pcam 5C Demo The demo in action - displaying the Pcam 5C data. Overview Description The Zybo Z7 Pcam 5C project demonstrates the usage of the Pcam 5C as a video source by forwarding the streaming

More information

LLRF4 Evaluation Board

LLRF4 Evaluation Board LLRF4 Evaluation Board USPAS Lab Reference Author: Dmitry Teytelman Revision: 1.1 June 11, 2009 Copyright Dimtel, Inc., 2009. All rights reserved. Dimtel, Inc. 2059 Camden Avenue, Suite 136 San Jose, CA

More information

USB4. Encoder Data Acquisition USB Device Page 1 of 8. Description. Features

USB4. Encoder Data Acquisition USB Device Page 1 of 8. Description. Features USB4 Page 1 of 8 The USB4 is a data acquisition device designed to record data from 4 incremental encoders, 8 digital inputs and 4 analog input channels. In addition, the USB4 provides 8 digital outputs

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Gentec-EO USA. T-RAD-USB Users Manual. T-Rad-USB Operating Instructions /15/2010 Page 1 of 24

Gentec-EO USA. T-RAD-USB Users Manual. T-Rad-USB Operating Instructions /15/2010 Page 1 of 24 Gentec-EO USA T-RAD-USB Users Manual Gentec-EO USA 5825 Jean Road Center Lake Oswego, Oregon, 97035 503-697-1870 voice 503-697-0633 fax 121-201795 11/15/2010 Page 1 of 24 System Overview Welcome to the

More information

Implementing Dynamic Reconfiguration in Cyclone IV GX Devices

Implementing Dynamic Reconfiguration in Cyclone IV GX Devices Implementing Dynamic Reconfiguration in Cyclone IV GX Devices AN-609-2013.03.05 Application Note Cyclone IV GX transceivers support the dynamic reconfiguration feature which provides a solution that allows

More information

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2 ELEC 3004/7312: Signals Systems & Controls Aims In this laboratory session you will: 1. Gain familiarity with the workings of the Digilent Nexys 2 for DSP applications; 2. Have a first look at the Xilinx

More information

User s Guide. DDS-3005 USB Operation Manual

User s Guide. DDS-3005 USB Operation Manual User s Guide DDS-3005 USB Operation Manual Table of Contents Chapter 1 Introduction...1 1.1 Introduction...1 1.2 Working Principle...1 1.3 Hardware Specification...1 Chapter 2 Installation...3 2.1 System

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

Terasic TRDB_D5M Digital Camera Package TRDB_D5M. 5 Mega Pixel Digital Camera Development Kit

Terasic TRDB_D5M Digital Camera Package TRDB_D5M. 5 Mega Pixel Digital Camera Development Kit Terasic TRDB_D5M Digital Camera Package TRDB_D5M 5 Mega Pixel Digital Camera Development Kit Document Version 1.2 AUG. 10, 2010 by Terasic Terasic TRDB_D5M Page Index CHAPTER 1 ABOUT THE KIT... 1 1.1 KIT

More information

UCE-DSO212 DIGITAL OSCILLOSCOPE USER MANUAL. UCORE ELECTRONICS

UCE-DSO212 DIGITAL OSCILLOSCOPE USER MANUAL. UCORE ELECTRONICS UCE-DSO212 DIGITAL OSCILLOSCOPE USER MANUAL UCORE ELECTRONICS www.ucore-electronics.com 2017 Contents 1. Introduction... 2 2. Turn on or turn off... 3 3. Oscilloscope Mode... 4 3.1. Display Description...

More information

ArduCAM USB Camera Shield

ArduCAM USB Camera Shield ArduCAM USB Camera Shield Application Note for MT9V034 Rev 1.0, June 2017 Table of Contents 1 Introduction... 2 2 Hardware Installation... 2 3 Run the Demo... 3 4 Tune the Sensor Registers... 4 4.1 Identify

More information

USB Multifunction Arbitrary Waveform Generator AWG2300. User Guide

USB Multifunction Arbitrary Waveform Generator AWG2300. User Guide USB Multifunction Arbitrary Waveform Generator AWG2300 User Guide Contents Safety information... 3 About this guide... 4 AWG2300 specifications... 5 Chapter 1. Product introduction 1 1. Package contents......

More information

Stratix II DSP Performance

Stratix II DSP Performance White Paper Introduction Stratix II devices offer several digital signal processing (DSP) features that provide exceptional performance for DSP applications. These features include DSP blocks, TriMatrix

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

Page 1/10 Digilent Analog Discovery (DAD) Tutorial 6-Aug-15. Figure 2: DAD pin configuration

Page 1/10 Digilent Analog Discovery (DAD) Tutorial 6-Aug-15. Figure 2: DAD pin configuration Page 1/10 Digilent Analog Discovery (DAD) Tutorial 6-Aug-15 INTRODUCTION The Diligent Analog Discovery (DAD) allows you to design and test both analog and digital circuits. It can produce, measure and

More information

MAX11300PMB1 Peripheral Module and Munich (USB2PMB1) Adapter Board Quick Start Guide

MAX11300PMB1 Peripheral Module and Munich (USB2PMB1) Adapter Board Quick Start Guide MAX11300PMB1 Peripheral Module and Munich (USB2PMB1) Adapter Board Quick Start Guide Rev 0; 7/14 For pricing, delivery, and ordering information, please contact Maxim Direct at 1-888-629-4642, or visit

More information

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Aiman Zakwan Jidin 1,2, Irna Nadira Mahzan 1, Nurulhalim Hassim 1, Ahmad Fauzan Kadmin 1 1 Faculty of Engineering Technology,

More information

ArduCAM USB Camera Shield

ArduCAM USB Camera Shield ArduCAM USB Camera Shield Application Note for MT9J001 Rev 1.0, Aug 2017 Table of Contents 1 Introduction... 2 2 Hardware Installation... 2 3 Run the Demo... 3 4 Tune the Sensor Registers... 4 4.1 Identify

More information

High-Speed Transceiver Toolkit

High-Speed Transceiver Toolkit High-Speed Transceiver Toolkit Stratix V FPGA Design Seminars 2011 3.0 Stratix V FPGA Design Seminars 2011 Our seminars feature hour-long modules on different Stratix V capabilities and applications to

More information

Software Design of Digital Receiver using FPGA

Software Design of Digital Receiver using FPGA Software Design of Digital Receiver using FPGA G.C.Kudale 1, Dr.B.G.Patil 2, K. Aurobindo 3 1PG Student, Department of Electronics Engineering, Walchand College of Engineering, Sangli, Maharashtra, 2Associate

More information

DSP Project. Reminder: Project proposal is due Friday, October 19, 2012 by 5pm in my office (Small 239).

DSP Project. Reminder: Project proposal is due Friday, October 19, 2012 by 5pm in my office (Small 239). DSP Project eminder: Project proposal is due Friday, October 19, 2012 by 5pm in my office (Small 239). Budget: $150 for project. Free parts: Surplus parts from previous year s project are available on

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

ArbStudio Training Guide

ArbStudio Training Guide ArbStudio Training Guide Summary This guide provides step by step instructions explaining how to create waveforms, use the waveform sequencer, modulate waveforms and generate digital patterns. The exercises

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 13.0 Introduction to Simulation of Verilog Designs For Quartus II 13.0 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Using Soft Multipliers with Stratix & Stratix GX

Using Soft Multipliers with Stratix & Stratix GX Using Soft Multipliers with Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 246 Introduction Traditionally, designers have been forced to make a tradeoff between the flexibility of

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK HMC6383 Evaluation Kit Analog, Digital

More information

CMOS MT9D112 Camera Module 1/4-Inch 3-Megapixel Module Datasheet

CMOS MT9D112 Camera Module 1/4-Inch 3-Megapixel Module Datasheet CMOS MT9D112 Camera Module 1/4-Inch 3-Megapixel Module Datasheet Rev 1.0, Mar 2013 3M Pixels CMOS MT9D112 CAMERA MODULE Table of Contents 1 Introduction... 2 2 Features... 3 3 Key Specifications... 3 4

More information

Name EET 1131 Lab #2 Oscilloscope and Multisim

Name EET 1131 Lab #2 Oscilloscope and Multisim Name EET 1131 Lab #2 Oscilloscope and Multisim Section 1. Oscilloscope Introduction Equipment and Components Safety glasses Logic probe ETS-7000 Digital-Analog Training System Fluke 45 Digital Multimeter

More information

Design Implementation Description for the Digital Frequency Oscillator

Design Implementation Description for the Digital Frequency Oscillator Appendix A Design Implementation Description for the Frequency Oscillator A.1 Input Front End The input data front end accepts either analog single ended or differential inputs (figure A-1). The input

More information

Controlling DC Brush Motor using MD10B or MD30B. Version 1.2. Aug Cytron Technologies Sdn. Bhd.

Controlling DC Brush Motor using MD10B or MD30B. Version 1.2. Aug Cytron Technologies Sdn. Bhd. PR10 Controlling DC Brush Motor using MD10B or MD30B Version 1.2 Aug 2008 Cytron Technologies Sdn. Bhd. Information contained in this publication regarding device applications and the like is intended

More information

CATALOG. ANALOG COMMUNICATION SYSTEMS DIGITAL COMMUNICATION SYSTEMS Microcontroller kits Arm controller kits PLC Trainer KITS Regulated Power supplies

CATALOG. ANALOG COMMUNICATION SYSTEMS DIGITAL COMMUNICATION SYSTEMS Microcontroller kits Arm controller kits PLC Trainer KITS Regulated Power supplies CATALOG ANALOG COMMUNICATION SYSTEMS DIGITAL COMMUNICATION SYSTEMS Microcontroller kits Arm controller kits PLC Trainer KITS Regulated Power supplies UNION INTRUMENTS #17 & 18, 4 th floor, Hanumathra Arcade

More information

Version Futek Instruments, LLC

Version Futek Instruments, LLC FT_ez_DAQ User s Manual Version 2.0.0 Futek Instruments, LLC Table of Contents 1. Introduction... 3 2. System Requirements... 3 3. Software Installation... 4 3.1 Application software and USB driver installation...

More information

A LOW-COST SOFTWARE-DEFINED TELEMETRY RECEIVER

A LOW-COST SOFTWARE-DEFINED TELEMETRY RECEIVER A LOW-COST SOFTWARE-DEFINED TELEMETRY RECEIVER Michael Don U.S. Army Research Laboratory Aberdeen Proving Grounds, MD ABSTRACT The Army Research Laboratories has developed a PCM/FM telemetry receiver using

More information

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as

1. The decimal number 62 is represented in hexadecimal (base 16) and binary (base 2) respectively as BioE 1310 - Review 5 - Digital 1/16/2017 Instructions: On the Answer Sheet, enter your 2-digit ID number (with a leading 0 if needed) in the boxes of the ID section. Fill in the corresponding numbered

More information

M-16DX 16-Channel Digital Mixer

M-16DX 16-Channel Digital Mixer M-16DX 16-Channel Digital Mixer Workshop Using the M-16DX with a DAW 2007 Roland Corporation U.S. All rights reserved. No part of this publication may be reproduced in any form without the written permission

More information

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1 Logic Analyzers EECS150 Spring 2007 Lab Lecture #5 Shah Bawany 2/16/2007 EECS150 Lab Lecture #5 1 Today Lab #3 Solution Synplify Warnings Debugging Hardware Administrative Info Logic Analyzer ChipScope

More information

TLE5014 Programmer. About this document. Application Note

TLE5014 Programmer. About this document. Application Note Application Note About this document Scope and purpose This document describes the Evaluation Kit for the TLE5014 GMR based angle sensor. The purpose of this manual is to describe the software installation

More information

CIC ENGINEERING 345 CENTER STREET EAST PEORIA, IL PH FAX µmpis Control Software

CIC ENGINEERING 345 CENTER STREET EAST PEORIA, IL PH FAX µmpis Control Software µmpis Control Software Overview The µmpis Control software package allows for a user to control the operation of the umpis unit with a PC. The PC and the umpis unit are connected together using a RS232

More information

VBRC 5. Radio Communicator. Installer Manual

VBRC 5. Radio Communicator. Installer Manual VBRC 5 Radio Communicator Installer Manual 10 / 10 / 2013 CONTENT 1. INTRODUCTION...3 2. SYSTEM STRUCTURE...3 3. SYSTEM PROGRAMMING WITH PC SOFTWARE...5 4. TROUBLESHOOTING...6 5. FIRMWARE UPGRADE...7 6.

More information

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system Basic FPGA Tutorial using VHDL and VIVADO to design two frequencies PWM modulator system January 30, 2018 Contents 1 INTRODUCTION........................................... 1 1.1 Motivation................................................

More information

Introduction to Simulation of Verilog Designs. 1 Introduction

Introduction to Simulation of Verilog Designs. 1 Introduction Introduction to Simulation of Verilog Designs 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an introduction to such

More information

Microprocessor & Interfacing Lecture Programmable Interval Timer

Microprocessor & Interfacing Lecture Programmable Interval Timer Microprocessor & Interfacing Lecture 30 8254 Programmable Interval Timer P A R U L B A N S A L A S S T P R O F E S S O R E C S D E P A R T M E N T D R O N A C H A R Y A C O L L E G E O F E N G I N E E

More information

DDS-3005 USB Arbitrary Waveform Generator and. Frequency Counter. Operation Manual V1.9

DDS-3005 USB Arbitrary Waveform Generator and. Frequency Counter. Operation Manual V1.9 DDS-3005 USB ManualV1.9 1 DDS-3005 USB Arbitrary Waveform Generator and Frequency Counter Operation Manual V1.9 Introduction 1.1 Introduction DDS-3005 USB Arbitrary Waveform Generator has one channel of

More information

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in

Block Diagram. i_in. q_in (optional) clk. 0 < seed < use both ports i_in and q_in Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core -bit signed input samples gain seed 32 dithering use_complex Accepts either complex (I/Q) or real input samples Programmable

More information

Lifetime Power Energy Harvesting Development Kit for Wireless Sensors User s Manual - featuring PIC MCU with extreme Low Power (XLP) Technology

Lifetime Power Energy Harvesting Development Kit for Wireless Sensors User s Manual - featuring PIC MCU with extreme Low Power (XLP) Technology P2110-EVAL-01 Lifetime Power User s Manual - featuring PIC MCU with extreme Low Power (XLP) Technology Overview The Lifetime Power is a complete demonstration and development platform for creating battery-free

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

PGT313 Digital Communication Technology. Lab 3. Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK)

PGT313 Digital Communication Technology. Lab 3. Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK) PGT313 Digital Communication Technology Lab 3 Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK) Objectives i) To study the digitally modulated quadrature phase shift keying (QPSK) and

More information

AN 761: Board Management Controller

AN 761: Board Management Controller AN 761: Board Management Controller Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Design Example Description... 3 Supported Features...4 Requirements... 4 Hardware

More information

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope. www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.25 September-2014, Pages:5002-5008 VHDL Implementation of Optimized Cascaded Integrator Comb (CIC) Filters for Ultra High Speed Wideband Rate

More information

Lab Report #7 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 March 22, 2013 Abstract

Lab Report #7 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 March 22, 2013 Abstract Lab Report #7 Alex Styborski, Daniel Telesman, and Josh Kauffman Group 12 March 22, 2013 Abstract The goal of Lab 7 was to create a musical keyboard from a computer keyboard. The keyboard was connected

More information

Lab 2: Introduction to Real Time Workshop

Lab 2: Introduction to Real Time Workshop Lab 2: Introduction to Real Time Workshop 1 Introduction In this lab, you will be introduced to the experimental equipment. What you learn in this lab will be essential in each subsequent lab. Document

More information

ACEX 1K. Features... Programmable Logic Device Family. Tools

ACEX 1K. Features... Programmable Logic Device Family. Tools ACEX 1K Programmable Logic Device Family May 2003, ver. 3.4 Data Sheet Features... Programmable logic devices (PLDs), providing low cost system-on-a-programmable-chip (SOPC) integration in a single device

More information

MINIMUM SYSTEM REQUIREMENTS

MINIMUM SYSTEM REQUIREMENTS Quick Start Guide Copyright 2000-2012 Frontline Test Equipment, Inc. All rights reserved. You may not reproduce, transmit, or store on magnetic media any part of this publication in any way without prior

More information

Lab Exercise 6: Digital/Analog conversion

Lab Exercise 6: Digital/Analog conversion Lab Exercise 6: Digital/Analog conversion Introduction In this lab exercise, you will study circuits for analog-to-digital and digital-to-analog conversion Preparation Before arriving at the lab, you should

More information

Lab 1.1 PWM Hardware Design

Lab 1.1 PWM Hardware Design Lab 1.1 PWM Hardware Design Lab 1.0 PWM Control Software (recap) In lab 1.0, you learnt the core concepts needed to understand and interact with simple systems. The key takeaways were the following: Hardware

More information

PC-based controller for Mechatronics System

PC-based controller for Mechatronics System Course Code: MDP 454, Course Name:, Second Semester 2014 PC-based controller for Mechatronics System Mechanical System PC Controller Controller in the Mechatronics System Configuration Actuators Power

More information

PC-OSCILLOSCOPE PCS500. Analog and digital circuit sections. Description of the operation

PC-OSCILLOSCOPE PCS500. Analog and digital circuit sections. Description of the operation PC-OSCILLOSCOPE PCS500 Analog and digital circuit sections Description of the operation Operation of the analog section This description concerns only channel 1 (CH1) input stages. The operation of CH2

More information

CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet

CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet CMOS MT9D111Camera Module 1/3.2-Inch 2-Megapixel Module Datasheet Rev 1.0, Mar 2013 Table of Contents 1 Introduction... 2 2 Features... 2 3 Block Diagram... 3 4 Application... 4 5 Pin Definition... 6 6

More information

User s Manual. Hantek1025G ARBITRARY FUNCTION GENERATOR

User s Manual. Hantek1025G ARBITRARY FUNCTION GENERATOR User s Manual Hantek1025G ARBITRARY FUNCTION GENERATOR www.hantek.com Content General safety summary... 1 Introduction... 2 Chapter 1 Getting started... 3 1.1 System Requirements... 4 1.2 Installing Hardware...

More information

SourceXpress Waveform Creation Application Printable Help Document

SourceXpress Waveform Creation Application Printable Help Document xx ZZZ SourceXpress Waveform Creation Application Printable Help Document *P077114504* 077-1145-04 ZZZ SourceXpress Waveform Creation Application Printable Help Document www.tek.com 077-1145-04 Copyright

More information

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1

Introduction to Simulation of Verilog Designs. 1 Introduction. For Quartus II 11.1 Introduction to Simulation of Verilog Designs For Quartus II 11.1 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an

More information

5008 Dual Synthesizer Configuration Manager User s Guide (admin Version) Version valontechnology.com

5008 Dual Synthesizer Configuration Manager User s Guide (admin Version) Version valontechnology.com 5008 Dual Synthesizer Configuration Manager User s Guide (admin Version) Version 1.6.1 valontechnology.com 5008 Dual Synthesizer Module Configuration Manager Program Version 1.6.1 Page 2 Table of Contents

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

USB-PWM10. User s Manual

USB-PWM10. User s Manual USB-PWM10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Open Source Digital Camera on Field Programmable Gate Arrays

Open Source Digital Camera on Field Programmable Gate Arrays Open Source Digital Camera on Field Programmable Gate Arrays Cristinel Ababei, Shaun Duerr, Joe Ebel, Russell Marineau, Milad Ghorbani Moghaddam, and Tanzania Sewell Department of Electrical and Computer

More information

USB Line Camera 8M. Coptonix GmbH

USB Line Camera 8M. Coptonix GmbH USB Line Camera 8M Coptonix GmbH Luxemburger Str. 31 D 13353 Berlin Phone: +49 (0)30 61 74 12 48 Fax: +49 (0)30 61 74 12 47 www.coptonix.com support@coptonix.com 2 The USB Line Camera 8M is an easy to

More information

ADQ214. Datasheet. Features. Introduction. Applications. Software support. ADQ Development Kit. Ordering information

ADQ214. Datasheet. Features. Introduction. Applications. Software support. ADQ Development Kit. Ordering information ADQ214 is a dual channel high speed digitizer. The ADQ214 has outstanding dynamic performance from a combination of high bandwidth and high dynamic range, which enables demanding measurements such as RF/IF

More information

Specifications and Interfaces

Specifications and Interfaces Specifications and Interfaces Crimson TNG is a wide band, high gain, direct conversion quadrature transceiver and signal processing platform. Using analogue and digital conversion, it is capable of processing

More information

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo EECS 270: Lab 7 Real-World Interfacing with an Ultrasonic Sensor and a Servo 1. Overview The purpose of this lab is to learn how to design, develop, and implement a sequential digital circuit whose purpose

More information

Getting started with OPENCORE NMR spectrometer. --- Installation and connection ---

Getting started with OPENCORE NMR spectrometer. --- Installation and connection --- Getting started with OPENCORE NMR spectrometer --- Installation and connection --- Assembly USB The USB module is bus-powered. That is, DC power is provided by the personal computer via the USB cable.

More information

Designing with STM32F3x

Designing with STM32F3x Designing with STM32F3x Course Description Designing with STM32F3x is a 3 days ST official course. The course provides all necessary theoretical and practical know-how for start developing platforms based

More information

JUMA-TRX2 DDS / Control Board description OH2NLT

JUMA-TRX2 DDS / Control Board description OH2NLT JUMA-TRX2 DDS / Control Board description OH2NLT 22.08.2007 General Key functions of the JUMA-TRX2 DDS / Control board are: - provide user interface functions with LCD display, buttons, potentiometers

More information

TRDB_DC2 TRDB_DC2. 1.3Mega Pixel Digital Camera Development Kit

TRDB_DC2 TRDB_DC2. 1.3Mega Pixel Digital Camera Development Kit Terasic TRDB_DC2 Digital Camera Package TRDB_DC2 1.3Mega Pixel Digital Camera Development Kit Frame grabber with VGA display reference design For Altera DE2 and Terasic T-Rex C1 Boards TRDB_DC2 Document

More information

Section III. Area, Timing and Power Optimization

Section III. Area, Timing and Power Optimization Section III. Area, Timing and Power Optimization Introduction Physical implementation can be an intimidating and challenging phase o the design process. This section introduces eatures in Altera s Quartus

More information

Intel MAX 10 Analog to Digital Converter User Guide

Intel MAX 10 Analog to Digital Converter User Guide Intel MAX 10 Analog to Digital Converter User Guide UG-M10ADC 2017.07.06 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1 MAX 10 Analog to Digital Converter

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information