THE term neuromorphic systems has been coined by Carver Mead, at the California Institute of Technology, to

Size: px
Start display at page:

Download "THE term neuromorphic systems has been coined by Carver Mead, at the California Institute of Technology, to"

Transcription

1 Neuromorphic Vision Chips: intelligent sensors for industrial applications Giacomo Indiveri, Jörg Kramer and Christof Koch Computation and Neural Systems Program California Institute of Technology Pasadena, CA 91125, U.S.A. Abstract In the past years researchers at the California Institute of Technology (and in few other research institutions worldwide) have been concentrating their efforts on the design and implementation of analog VLSI neuromorphic systems. Today this emerging technology seems to be mature enough for use in industrial applications. In this paper we give an overview of some of the neuromorphic vision circuits that have been developed at the California Institute of Technology. To point out the advantages and disadvantages of using these types of circuits in industrial applications (with emphasis on the automotive market), we describe a system implemented on a single chip, that detects the direction of heading in the case of translatory ego-motion in a stationary environment. This device contains a variety of analog circuits typically used in neuromorphic systems. We show simulation results applied to vehicle navigation and test data obtained using artificial stimuli in a laboratory environment. Keywords Analog VLSI, Neuromorphic Systems, Intelligent Sensors, Vehicle Navigation I. Introduction THE term neuromorphic systems has been coined by Carver Mead, at the California Institute of Technology, to describe analog VLSI devices containing electronic circuits that mimic neurobiological architectures present in the nervous system [1]. In the past years we have been focusing our research on neuromorphic vision chips by designing models of the retina and of other sub-systems of the mammalian visual pathway, and by implementing them in hardware. The developed chips can thus be used as intelligent sensors ; sensors that, from a functional point of view, correspond to imagers coupled to different types of machine-vision algorithm dedicated processors. From an architectural and computational point of view these two types of systems are quite different. While the standard machine-vision approach typically uses high-resolution imagers, samples the incoming video signal and transfers this enormous amount of data to dedicated digital circuits (DSPs) for further processing, neuromorphic vision chips typically process the analog luminance values at the pixel level exploiting the physics of the silicon devices to transduce the light into voltages and currents. The circuits used in these chips are analog, non-clocked and operate in a massively parallel fashion. Images are processed in real time on the same physical plane used for acquisition (focal plane processing) and the devices carrying out the computation are extremely compact and low power (compared to their digital counterparts). In the next Section we describe some of the circuits used in these types of devices. To evidence the advantages that come from using these neuromorphic vision chips, we describe in Section III a specific device designed to compute the heading direction in the case of translatory ego-motion in a stationary environment. Finally, in Section IV we write some concluding remarks. II. Neuromorphic Vision Circuits Neuromorphic chips contain a variety of analog circuits used for tasks that range from transducing the luminous signal into voltages and currents, to interfacing the analog device with the digital world. These circuits are carefully designed, interconnected, and spatially arranged on the 2D silicon surface to harmoniously process input stimuli and carry out the particular type of computation that the designer had in mind. In this section we describe a small, yet representative subset of analog circuits that we use in our laboratories as handy building blocks for neuromorphic analog VLSI architectures [2]. A. Adaptive Photo-receptor An example of a circuit often used in neuromorphic vision chips is the adaptive photo-receptor developed by Tobi Delbrück [3]. This receptor, based on a model of the invertebrate retina, is able to adapt to over six orders of magnitude in light amplitude variation while maintaining its gain to local changes in brightness approximately constant. Its continuous-time output has low gain for static signals (including circuit mismatches), and high gain for transient signals that are centered around the adaptation point. Furthermore, the response to a fixed image contrast is invariant to absolute light intensity (the response changing logarithmically with illumination). This 4-transistor circuit (see Fig. 1) G. Indiveri, to whom all correspondence should be addressed, and J. Kramer are currently affiliated with the Institute for Neuroinformatics ETH/UZ, Gloriastrasse 32, CH-8006 Zurich, Switzerland 1

2 Vbias Vout Fig. 1. Circuit diagram of the adaptive photo-receptor. The photo-diode generates a light-induced current, which is logarithmically converted into voltage and, for sharp brightness transients, amplified by a high-gain amplifier. An adaptive element in the feedback loop (the diodeconnected p-type transistor) allows the circuit to shift its optimal high-gain DC operating point with the average background brightness. canbefabricatedinanareaofabout50 50µm 2 usinga2µm CMOS technology. This circuit has been employed in several silicon retina chips which are thus characterized by a wide dynamic range in brightness sensitivity and local gain control (at the single pixel level). B. Silicon Retina Another circuit often used to transduce the luminous signal into an electrical one, designed by Kwabena Buster Boahen [4], is the one shown in Fig. 2. This compact, current-mode circuit is based on a model of the outer-plexiform Vu Vf Vu Vg Fig. 2. Circuit diagram of the current-mode outer-plexiform layer model. The photo-transistors generate light-induced current at each pixel location. The current is then diffused laterally through both excitatory and inhibitory (additive and subtractive) paths. The size and shape of the equivalent filter s convolution kernel can be controlled by the voltages V f and V g. layer of the vertebrate retina. Lateral interactions between neighboring pixels produce antagonistic center-surround properties. As a result silicon retinae designed using this circuit generate an output which corresponds to a filtered, edge-enhanced version of the input image. While this circuit has several advantages over the adaptive photo-receptor previously described (namely compactness and center-surround properties) it lacks its capability of responding efficiently to over six decades of brightness level. C. Resistive Grids In the previous circuit, spatial coupling between adjacent pixels was implemented by connecting transistors biased in the sub-threshold domain (i.e. with their gate-to-source voltages set to values lower than the threshold voltage). It has been demonstrated that transistors biased in that particular region of operation can implement linear resistive networks [5]. Resistive networks can then be used to model diffusion processes in a wide variety of cases. From a functional point of view they could be used to perform convolution operations which would be carried out in real time, due to the collective computational properties of the circuit. Convolution kernels, though, would have to be set at circuit design time and their shape could only be modified up to a certain extent for the implemented circuit. The spatial distribution I 0 Vr Vr Vr V i-1 V i V i+1 Vg Vg Vg Fig. 3. Circuit diagram of a one dimensional resistive network.

3 of the voltages V i of a one-dimensional resistive network as the one in Fig. 3 (i.e. its spatial impulse response), can be approximated by the equation = V 0 e α i V i where i represents the distance from the input node and α represents the space constant, defined as the rate at which signals die out with distance from the source [1]. For the particular example shown, the space constant is: α = e κ 2U (V T r V g) where κ is the sub-threshold slope coefficient, U T n-type MOS transistors. is the thermal voltage and V r and V g are the gate voltages of the D. Winner-take-all Circuit The current mode winner-take-all (WTA) network, originally presented in [6], is another example of an ingeniously designed circuit inspired by inhibitory mechanisms present in the nervous system. It exploits the natural characteristics of the VLSI medium, taking advantage of non-idealities (such as the Early effect) that traditional designers typically try to minimize. This circuit processes globally all its input signals (performing collective computation), is extremely compact using a very limited amount of transistors per input node, and operates in parallel, with strictly local interconnections. These types of WTA networks are used in a wide variety of applications [7] [8] [9], and represent still a topic of active research for task-specific improvements [10] [11] [12]. The basic WTA circuit, shown in Fig. 4, works as follows: if the O n-1 O n O n+1 I n-1 I n I n+1 Vn-1 V n V n+1 Vb Vg I b Fig. 4. Circuit diagram of a winner-take-all network. input current I n is the one with the maximum value, the system naturally settles to a state in which the voltage V n is set so that the corresponding output transistor supplies all the bias current O b = I b. Conversely, the voltages at the other nodes V i n are all low, so that the output currents O i n are approximately null. E. Velocity Sensors More recently, we have been concentrating our design efforts also on motion-detection chips [13] [14]. In [15] and [16] we describe compact elementary velocity sensor circuits that can be integrated in a single device to measure, at each pixel location, the velocity of the edges traveling across pixels. These sensors implement algorithms that measure the time of travel of edges in the image between two fixed locations on the chip. Fig. 5A shows the schematic architecture of one functional element of the sensor described in detail in [15]. In an edge-detection stage (E), rapid dark-to-bright irradiance changes are converted into short current pulses at the two locations (I 1 resp. I 2 ). At each location, the current pulses are fed into a pulse-shaping stage (P) that generates a logarithmically-decaying voltage signal (V s1 resp. V s2 ) and a sharp voltage spike (V f1 resp. V f2 )inresponsetoeach edge pulse. In a motion-sensing stage, the slowly-decaying facilitation signal from one location (V s1 )issampledbythe sharp spike from the adjacent location (V f2 )(andviceversawithv s2 and V f1 ). The motion-sensing stage consists of two elements (M), each encoding velocity for one direction of motion of the edge. The element for which the onset of the facilitation pulse precedes the sampling spike (Fig. 5B) encodes the relative time delay of these signals generated by the same edge at the two locations and therefore the edge velocity. The edge is then said to move in the preferred direction of motion of the element. For the other element, the sampling spike precedes the onset of the facilitation pulse and the residual voltage of the facilitation pulse triggered by the previous edge is sampled. The edge is said to move into the null direction of this element and the sampled voltage does not contain any velocity information. In absence of spatial aliasing, i.e. if the separation of succeeding edges is larger than twice the pixel spacing, the output voltage for the preferred direction is always greater than the spurious output voltage for the null direction, which can thus be suppressed by comparing the voltages at the two outputs and setting the lower one to zero (D).

4 E(x-vt) I 1 2 I V Vs1 Vf2 V f1 V s2 V s1 V f2 V r t V l V r A Fig. 5. Facilitate and sample velocity sensor. A Block diagram. Temporal-edge detectors (E) generate current pulses in response to fast image brightness transients. Pulse-shaping circuits (P) convert the current pulses into voltage signals. Voltage signals from adjacent pixels are fed into two motion circuits (M) computing velocity for opposite directions (V l and V r) along one dimension. A direction-selection circuit (D) suppresses the response in the null direction to prevent temporal aliasing. B Voltage signals. The analog output voltage of the motion circuit for rightward motion (V r) equals the voltage of the slowly-decaying facilitation pulse (V s1 )atthetimeofarrivalof the narrow sampling pulse (V f2 ). For leftward motion, the sampling pulse precedes the facilitation pulse and the output voltage is low. The analog output voltage thus encodes velocity for rightward motion only. B III. Vehicle navigation applications Real-time vehicle navigation tasks have proven to be computationally intensive and extremely complex in terms of resources used. Nonetheless technological progress allowed researchers to obtain successful and impressive results in this field using traditional machine vision, token based approaches [17]. Unfortunately, these results could be obtained only by using costly and bulky digital machines, whereas mobile applications of navigation systems place severe constraints on their size, power consumption, shock resistance and manufacturing cost. A possible solution to the problems posed by these constraints could be obtained by using compact low-power neuromorphic chips ( intelligent sensors ) for the data-acquisition/pre-processing stage. It would thus be possible to reduce substantially the computational burden of further processing stages and reduce the size and cost of the overall system. To show how it is possible to implement the circuits described in the previous sections onto a device that carries out a vehicle navigation task, we designed and implemented an architecture for computing the direction of heading inthecaseoftranslational motion [9]. We made the simplification of considering pure translational motion because we are assuming that it is possible to compensate for the rotational component of motion using lateral accelerometer measurements from other sensors often already present on the vehicle. The particular application considered is suitable for being implemented using analog VLSI circuits, because it relies mainly on integrative features of the extracted optical flow. At first, we performed software simulations on sequences of images to extract and evaluate the optical flow fields. The images were obtained from a camera with a pixel silicon retina (containing circuits similar to the one described in II-B) mounted on a truck driving on a straight road. We then considered the horizontal component of the flow vectors (as we are mainly interested in controlling the heading direction in this dimension) to compute the heading direction of the truck. The heading direction was computed by looking for the region in which nearby optical flow vectors would point in opposite directions. If we encode the direction of the optical flow vectors with a positive or negative signal, the heading direction will then correspond to the point in which the values change sign (the zero-crossing ) in the data array. Optical flow computation being an ill-posed problem to begin with, the input data being noisy and since analog VLSI circuits are generally characterized by low precision in their state values, it is possible that (both in software simulations and in the hardware implementation) more than one zero-crossing arises in the data array. To cope with this problem we implemented spatial smoothing on the value of the extracted optical flow vectors, selected among all the possible zero-crossings the one with maximum steepness and implemented an algorithm that allowed us to track in

5 time the selected zero-crossing (enforcing the a-priori assumption that the heading direction shifts smoothly in space). An image from a typical navigation scene which shows the results of these computations is shown in Fig. 6. Fig. 6. Image obtained from a camera mounted on a truck moving on a straight road (courtesy of B. Mathur, Rockwell Corp.) with optical flow vectors superimposed. Close to the center of the road the lane markings can be recognized, while on the left side of the image there is a shadow of a tree approaching the camera. The lower part of the image shows the 1D encoding of the horizontal component of the optical flow vectors. The vertical white bar represents the position of the heading direction as computed by the algorithm. All the operators used in the software simulations have been implemented in the hardware architecture. Fig. 7 shows a block diagram of this architecture. The input stage is a one-dimensional array of elementary velocity sensors (described Fig. 7. Block diagram of the analog VLSI architecture for determining the heading direction of an observer translating in a fixed environment. in Section II-E) that measure speed and direction of motion of temporal edges. The output voltage of each velocity sensor is then converted into current, by means of a circuit based on a two node winner-take-all (WTA) network (see Section II-D). The currents encoding the direction of motion are then spatially smoothed using two separate resistive networks (one for each direction) of the type described in Section II-C. By using a current mirror to subtract the smoothed currents from the two networks we obtain a bidirectional current, the sign of which encodes the direction of motion. Zero-crossings are detected in the third processing stage by looking for co-presence of negative currents from one unit and positive currents from the neighboring unit. The circuit that implements this operation is based on an analog current-correlator circuit [18] and on a digitally controlled current-mirror. If both input currents are greater than an externally controlled threshold value, the output is activated and a current corresponding to the sum of the absolute values of the two inputs is generated. To select the zero-crossing corresponding to the correct heading direction position we choose the one with the steepest slope (maximum current sum). This is done by feeding the output of the zero-crossing circuits to a global WTA network with lateral excitation [12]. Lateral excitation, implemented using another resistive network, accounts for the fact that the heading direction position shifts smoothly in space: it facilitates the selection of units close to the previously chosen winner and inhibits units farther away. In this way, once a strong zero-crossing is selected, the system will tend to track it as it moves along the array. Fig. 8 shows the output of the system tested on a laboratory bench. To simulate ego-motion we imaged onto the 1D array of photo-receptors (through an 8mm lens) expanding edges. This was done by placing a drawing of a V-shaped curve on a rotating drum. The 1D array would thus see sections of the V-shaped curve, starting from the bottom (edges in the center) all the way up to the top (edges in the periphery). The circuits used are non-clocked and operate in parallel, thus as soon as the photo-receptors on the chip detect moving edges, the system outputs a result. Using high-contrast, well controlled stimuli, the system is able to select and track in time the correct heading direction location.

6 Output Voltage Output Voltage Unit Position (a) Unit Position (b) Fig. 8. (a) Output of the system at the second processing stage (direction of velocity selection and differential voltage to current conversion) as the heading direction position shifts from left to right. The output currents were converted into voltages using an off-chip senseamplifier with a reference voltage set to 2V. Each plot was separated from the next by adding a constant value of 0.75V. (b) Output of the system at the third processing stage (zero-crossing detection) for the same sequence of heading direction positions. IV. Conclusions We described a set of analog VLSI circuits that can be used as handy building blocks for neuromorphic vision systems. To point out how these compact circuits can be used at a system level we described a device implemented on a single 2mm 2mm silicon chip, using 2µm CMOS technology, that is able to compute the direction of heading in the case of ego-motion in a fixed environment. We showed how these types of systems have the desirable properties of being compact, low power, low cost (if mass produced), asynchronous (non-clocked) and parallel. Nonetheless, these systems are generally characterized by low precision in their state variables and are extremely task-specific. For these reasons, we think that neuromorphic vision chips should not replace existing systems, nor try to solve autonomously complex industrial tasks. Rather, they are meant to be used in conjunction with existing engineering systems in order to simplify the pre-processing stages of the problem and to diminish the computational load of the overall system. Acknowledgments The authors would like to acknowledge the following people for making their circuits and data available and for sharing their time to explain the details of operation of several neuromorphic circuits: Tobi Delbrück, Buster Boahen, Shih-Chii Liu, Rahul Sarpeshkar, Misha Mahowald and Tim Horiuchi. This work was supported by Daimler-Benz as well as by grants from the Office of Naval Research, the Center for Neuromorphic Systems Engineering as a part of the National Science Foundation Engineering Research Center Program, and by the Office of Strategic Technology of the California Trade and Commerce Agency. Fabrication of the integrated circuits was provided by MOSIS. References [1] C.A. Mead, Analog VLSI and Neural Systems, Addison-Wesley, Reading, MA, [2] R. Douglas, M. Mahowald, and C. Mead, Neuromorphic analogue VLSI, Annu. Rev. Neurosci.,, no. 18, pp , [3] T. Delbrück, Analog VLSI phototransduction by continous-time, adaptive, logarithmic photoreceptor circuits, Tech. Rep., California Institute of Technology, Pasadena, CA, 1994, CNS Memo No. 30. [4] K.A. Boahen and A.G. Andreou, A contrast sensitive silicon retina with reciprocal synapses, in Advances in neural information processing systems, D.S. Touretzky, M.C. Mozer, and M.E. Hasselmo, Eds. IEEE, 1992, vol. 4, MIT Press. [5] E.A. Vittoz and X. Arreguit, Linear networks based on transistors, Electronics Letters, vol. 29, no. 3, pp , Feb [6] J. Lazzaro, S. Ryckebusch, M.A. Mahowald, and C.A. Mead, Winner-take-all networks of O(n) complexity, inadvances in neural information processing systems, D.S. Touretzky, Ed., San Mateo - CA, 1989, vol. 2, pp , Morgan Kaufmann. [7] T. Horiuchi, W. Bair, B. Bishofberger, J. Lazzaro, and C. Koch, Computing motion using analog VLSI chips: an experimental comparison among different approaches, International Journal of Computer Vision, vol. 8, pp , [8] S.P. DeWeerth and T.G Morris, Analog VLSI circuits for primitive sensory attention, in Proc. IEEE Int. Symp. Circuits and Systems. IEEE, 1994, vol. 6, pp [9] G. Indiveri, J. Kramer, and C. Koch, System implementations of analog VLSI velocity sensors, IEEE Micro, vol. 16, no. 5, pp , Oct [10] A. Starzyk, J. and X. Fang, CMOS current mode winner-take-all circuit with both excitatory and inhibitory feedback, Electronic Letters, vol. 29, no. 10, pp , May [11] S.P. DeWeerth and T.G Morris, CMOS current mode winner-take-all circuit with distributed hysteresis, Electronics Letters, vol. 31, no. 13, pp , June 1995.

7 [12] G. Indiveri, Winner-take-all networks with lateral excitation, Jour. of Analog Integrated Circuits and Signal Processing, vol. 13, no. 1/2, pp , May [13] S. Liu, Silicon model of motion adaptation in the fly visual system, in Proc. of Third Joint Caltech/UCSD Symposium, June [14] J. Kramer, G. Indiveri, and C. Koch, Analog VLSI motion projects at caltech, in Proc. Int. Symp. on Advanced Imaging and Network Technologies, Berlin, Germany, Oct [15] J. Kramer, R. Sarpeshkar, and C. Koch, Pulse-based analog VLSI velocity sensors, IEEE Trans. on Circuit and Systems, vol. 44, no. 2, pp , Feb [16] J. Kramer, Compact integrated motion sensor with three-pixel interaction, IEEE Trans. Pattern Anal. Machine Intell., vol. 18, pp , [17] E.D. Dickmanns and N. Mueller, Scene recognition and navigation capabilities for lane changes and turns in vision-based vehicle guidance, Control Engineering Practice, vol. 4, no. 5, pp , May [18] T. Delbrück, Bump circuits for computing similarity and dissimilarity of analog voltages, in Proc. IJCNN, June 1991, pp. I

8 Vbias Vout Fig. 1. Circuit diagram of the adaptive photo-receptor. The photo-diode generates a light-induced current, which is logarithmically converted into voltage and, for sharp brightness transients, amplified by a high-gain amplifier. An adaptive element in the feedback loop (the diodeconnected p-type transistor) allows the circuit to shift its optimal high-gain DC operating point with the average background brightness.

9 Vu Vf Vu Vg Fig. 2. Circuit diagram of the current-mode outer-plexiform layer model. The photo-transistors generate light-induced current at each pixel location. The current is then diffused laterally through both excitatory and inhibitory (additive and subtractive) paths. The size and shape of the equivalent filter s convolution kernel can be controlled by the voltages V f and V g.

10 I 0 Vr Vr Vr V i-1 V i V i+1 Vg Vg Vg Fig. 3. Circuit diagram of a one dimensional resistive network.

11 O n-1 O n O n+1 I n-1 I n I n+1 Vn-1 V n V n+1 V b V g I b Fig. 4. Circuit diagram of a winner-take-all network.

12 E(x-vt) I 1 2 I V Vs1 Vf2 V f1 V s2 V s1 V f2 V r t V l V r A Fig. 5. Facilitate and sample velocity sensor. A Block diagram. Temporal-edge detectors (E) generate current pulses in response to fast image brightness transients. Pulse-shaping circuits (P) convert the current pulses into voltage signals. Voltage signals from adjacent pixels are fed into two motion circuits (M) computing velocity for opposite directions (V l and V r) along one dimension. A direction-selection circuit (D) suppresses the response in the null direction to prevent temporal aliasing. B Voltage signals. The analog output voltage of the motion circuit for rightward motion (V r) equals the voltage of the slowly-decaying facilitation pulse (V s1 )atthetimeofarrivalof the narrow sampling pulse (V f2 ). For leftward motion, the sampling pulse precedes the facilitation pulse and the output voltage is low. The analog output voltage thus encodes velocity for rightward motion only. B

13 Fig. 6. Image obtained from a camera mounted on a truck moving on a straight road (courtesy of B. Mathur, Rockwell Corp.) with optical flow vectors superimposed. Close to the center of the road the lane markings can be recognized, while on the left side of the image there is a shadow of a tree approaching the camera. The lower part of the image shows the 1D encoding of the horizontal component of the optical flow vectors. The vertical white bar represents the position of the heading direction as computed by the algorithm.

14 Fig. 7. Block diagram of the analog VLSI architecture for determining the heading direction of an observer translating in a fixed environment.

15 7 6 Output Voltage Unit Position (a) Output Voltage Unit Position (b) Fig. 8. (a) Output of the system at the second processing stage (direction of velocity selection and differential voltage to current conversion) as the heading direction position shifts from left to right. The output currents were converted into voltages using an off-chip senseamplifier with a reference voltage set to 2V. Each plot was separated from the next by adding a constant value of 0.75V. (b) Output of the system at the third processing stage (zero-crossing detection) for the same sequence of heading direction positions.

Autonomous vehicle guidance using analog VLSI neuromorphic sensors

Autonomous vehicle guidance using analog VLSI neuromorphic sensors Autonomous vehicle guidance using analog VLSI neuromorphic sensors Giacomo Indiveri and Paul Verschure Institute for Neuroinformatics ETH/UNIZH, Gloriastrasse 32, CH-8006 Zurich, Switzerland Abstract.

More information

Winner-Take-All Networks with Lateral Excitation

Winner-Take-All Networks with Lateral Excitation Analog Integrated Circuits and Signal Processing, 13, 185 193 (1997) c 1997 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. Winner-Take-All Networks with Lateral Excitation GIACOMO

More information

TED TED. τfac τpt. A intensity. B intensity A facilitation voltage Vfac. A direction voltage Vright. A output current Iout. Vfac. Vright. Vleft.

TED TED. τfac τpt. A intensity. B intensity A facilitation voltage Vfac. A direction voltage Vright. A output current Iout. Vfac. Vright. Vleft. Real-Time Analog VLSI Sensors for 2-D Direction of Motion Rainer A. Deutschmann ;2, Charles M. Higgins 2 and Christof Koch 2 Technische Universitat, Munchen 2 California Institute of Technology Pasadena,

More information

Neuromorphic Systems For Industrial Applications. Giacomo Indiveri

Neuromorphic Systems For Industrial Applications. Giacomo Indiveri Neuromorphic Systems For Industrial Applications Giacomo Indiveri Institute for Neuroinformatics ETH/UNIZ, Gloriastrasse 32, CH-8006 Zurich, Switzerland Abstract. The field of neuromorphic engineering

More information

NEUROMORPHIC vision sensors are typically analog

NEUROMORPHIC vision sensors are typically analog IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 46, NO. 11, NOVEMBER 1999 1337 Neuromorphic Analog VLSI Sensor for Visual Tracking: Circuits and Application Examples

More information

A Delay-Line Based Motion Detection Chip

A Delay-Line Based Motion Detection Chip A Delay-Line Based Motion Detection Chip Tim Horiuchit John Lazzaro Andrew Mooret Christof Kocht tcomputation and Neural Systems Program Department of Computer Science California Institute of Technology

More information

APRIMARY obstacle to solving visual processing problems

APRIMARY obstacle to solving visual processing problems 1564 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 45, NO. 12, DECEMBER 1998 Object-Based Selection Within an Analog VLSI Visual Attention System Tonia G. Morris,

More information

System Implementations of Analog VLSI Velocity Sensors. Giacomo Indiveri, Jorg Kramer and Christof Koch. California Institute of Technology

System Implementations of Analog VLSI Velocity Sensors. Giacomo Indiveri, Jorg Kramer and Christof Koch. California Institute of Technology System Implementations of Analog VLSI Velocity Sensors Giacomo Indiveri, Jorg Kramer and Christof Koch Computation and Neural Systems Program California Institute of Technology Pasadena, CA 95, U.S.A.

More information

Neuromorphic Engineering I. avlsi.ini.uzh.ch/classwiki. A pidgin vocabulary. Neuromorphic Electronics? What is it all about?

Neuromorphic Engineering I. avlsi.ini.uzh.ch/classwiki. A pidgin vocabulary. Neuromorphic Electronics? What is it all about? Neuromorphic Engineering I Time and day : Lectures Mondays, 13:15-14:45 Lab exercise location: Institut für Neuroinformatik, Universität Irchel, Y55 G87 Credits: 6 ECTS credit points Exam: Oral 20-30 minutes

More information

Real- Time Computer Vision and Robotics Using Analog VLSI Circuits

Real- Time Computer Vision and Robotics Using Analog VLSI Circuits 750 Koch, Bair, Harris, Horiuchi, Hsu and Luo Real- Time Computer Vision and Robotics Using Analog VLSI Circuits Christof Koch Wyeth Bair John. Harris Timothy Horiuchi Andrew Hsu Jin Luo Computation and

More information

A Foveated Visual Tracking Chip

A Foveated Visual Tracking Chip TP 2.1: A Foveated Visual Tracking Chip Ralph Etienne-Cummings¹, ², Jan Van der Spiegel¹, ³, Paul Mueller¹, Mao-zhu Zhang¹ ¹Corticon Inc., Philadelphia, PA ²Department of Electrical Engineering, Southern

More information

John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720

John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720 LOW-POWER SILICON NEURONS, AXONS, AND SYNAPSES John Lazzaro and John Wawrzynek Computer Science Division UC Berkeley Berkeley, CA, 94720 Power consumption is the dominant design issue for battery-powered

More information

Awinner-take-all (WTA) circuit, which identifies the

Awinner-take-all (WTA) circuit, which identifies the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 3, MARCH 2005 131 High-Speed and High-Precision Current Winner-Take-All Circuit Alexander Fish, Student Member, IEEE, Vadim Milrud,

More information

An Auditory Localization and Coordinate Transform Chip

An Auditory Localization and Coordinate Transform Chip An Auditory Localization and Coordinate Transform Chip Timothy K. Horiuchi timmer@cns.caltech.edu Computation and Neural Systems Program California Institute of Technology Pasadena, CA 91125 Abstract The

More information

Multi-Chip Implementation of a Biomimetic VLSI Vision Sensor Based on the Adelson-Bergen Algorithm

Multi-Chip Implementation of a Biomimetic VLSI Vision Sensor Based on the Adelson-Bergen Algorithm Multi-Chip Implementation of a Biomimetic VLSI Vision Sensor Based on the Adelson-Bergen Algorithm Erhan Ozalevli and Charles M. Higgins Department of Electrical and Computer Engineering The University

More information

Bio-inspired for Detection of Moving Objects Using Three Sensors

Bio-inspired for Detection of Moving Objects Using Three Sensors International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 Bio-inspired for Detection of Moving Objects Using Three Sensors Mario Alfredo Ibarra Carrillo Dept. Telecommunications,

More information

A Neuromorphic VLSI Device for Implementing 2-D Selective Attention Systems

A Neuromorphic VLSI Device for Implementing 2-D Selective Attention Systems IEEE TRANSACTIONS ON NEURAL NETWORKS, VOL. 12, NO. 6, NOVEMBER 2001 1455 A Neuromorphic VLSI Device for Implementing 2-D Selective Attention Systems Giacomo Indiveri Abstract Selective attention is a mechanism

More information

An Ultra Low Power Silicon Retina with Spatial and Temporal Filtering

An Ultra Low Power Silicon Retina with Spatial and Temporal Filtering An Ultra Low Power Silicon Retina with Spatial and Temporal Filtering Sohmyung Ha Department of Bioengineering University of California, San Diego La Jolla, CA 92093 soha@ucsd.edu Abstract Retinas can

More information

CONVENTIONAL vision systems based on mathematical

CONVENTIONAL vision systems based on mathematical IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 2, FEBRUARY 1997 279 An Insect Vision-Based Motion Detection Chip Alireza Moini, Abdesselam Bouzerdoum, Kamran Eshraghian, Andre Yakovleff, Xuan Thong

More information

An Analog VLSI Model of Adaptation in the Vestibulo-Ocular Reflex

An Analog VLSI Model of Adaptation in the Vestibulo-Ocular Reflex 742 DeWeerth and Mead An Analog VLSI Model of Adaptation in the Vestibulo-Ocular Reflex Stephen P. DeWeerth and Carver A. Mead California Institute of Technology Pasadena, CA 91125 ABSTRACT The vestibulo-ocular

More information

An Integrated Image Motion Sensor for Micro Camera Module

An Integrated Image Motion Sensor for Micro Camera Module An Integrated Image Motion Sensor for Micro Camera Module F. Gensolen 1,2, G. Cathebras 2, L. Martin 1, M. Robert 2 1 STMICROELECTRONICS, ZI de Rousset, BP 2, 13106 Rousset, France 2 LIRMM, Univ. Montpellier

More information

Time-derivative adaptive silicon photoreceptor array

Time-derivative adaptive silicon photoreceptor array Time-derivative adaptive silicon photoreceptor array Tobi Delbrück and arver A. Mead omputation and Neural Systems Program, 139-74 alifornia Institute of Technology Pasadena A 91125 Internet email: tdelbruck@caltech.edu

More information

Habilitation Thesis. Neuromorphic VLSI selective attention systems: from single chip solutions to multi-chip systems

Habilitation Thesis. Neuromorphic VLSI selective attention systems: from single chip solutions to multi-chip systems Habilitation Thesis Neuromorphic VLSI selective attention systems: from single chip solutions to multi-chip systems Giacomo Indiveri A habilitation thesis submitted to the SWISS FEDERAL INSTITUTE OF TECHNOLOGY

More information

A Silicon Axon. Bradley A. Minch, Paul Hasler, Chris Diorio, Carver Mead. California Institute of Technology. Pasadena, CA 91125

A Silicon Axon. Bradley A. Minch, Paul Hasler, Chris Diorio, Carver Mead. California Institute of Technology. Pasadena, CA 91125 A Silicon Axon Bradley A. Minch, Paul Hasler, Chris Diorio, Carver Mead Physics of Computation Laboratory California Institute of Technology Pasadena, CA 95 bminch, paul, chris, carver@pcmp.caltech.edu

More information

A Resistor/Transconductor Network for Linear Fitting

A Resistor/Transconductor Network for Linear Fitting 322 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 4, APRIL 2000 A Resistor/Transconductor Network for Linear Fitting Bertram E. Shi, Member, IEEE, Lina

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.6.755 Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

More information

Analog Circuit for Motion Detection Applied to Target Tracking System

Analog Circuit for Motion Detection Applied to Target Tracking System 14 Analog Circuit for Motion Detection Applied to Target Tracking System Kimihiro Nishio Tsuyama National College of Technology Japan 1. Introduction It is necessary for the system such as the robotics

More information

Copyright T. Delbruck,

Copyright T. Delbruck, Spiking silicon retina for digital vision Inst. of Neuroinformatics, UNI-ETH Zurich Tobi Delbruck Inst. of Neuroinformatics UZH-ETH Zurich Switzerland Patrick Lichtsteiner PhD project Funding: UZH-ETH

More information

Neuromorphic Event-Based Vision Sensors

Neuromorphic Event-Based Vision Sensors Inst. of Neuroinformatics www.ini.uzh.ch Conventional cameras (aka Static vision sensors) deliver a stroboscopic sequence of frames Silicon Retina Technology Tobi Delbruck Inst. of Neuroinformatics, University

More information

Real Time Neuromorphic Camera Architecture Implemented with Quadratic Emphasis in an FPGA

Real Time Neuromorphic Camera Architecture Implemented with Quadratic Emphasis in an FPGA International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 Real Time Neuromorphic Camera Architecture Implemented with Quadratic Emphasis in an FPGA Elizabeth Fonseca Chavez1,

More information

FULLY INTEGRATED CURRENT-MODE SUBAPERTURE CENTROID CIRCUITS AND PHASE RECONSTRUCTOR Alushulla J. Ambundo 1 and Paul M. Furth 2

FULLY INTEGRATED CURRENT-MODE SUBAPERTURE CENTROID CIRCUITS AND PHASE RECONSTRUCTOR Alushulla J. Ambundo 1 and Paul M. Furth 2 FULLY NTEGRATED CURRENT-MODE SUBAPERTURE CENTROD CRCUTS AND PHASE RECONSTRUCTOR Alushulla J. Ambundo 1 and Paul M. Furth 1 Mixed-Signal-Wireless (MSW), Texas nstruments, Dallas, TX aambundo@ti.com Dept.

More information

WHEN the visual image of a dynamic three-dimensional

WHEN the visual image of a dynamic three-dimensional IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 3, MARCH 2005 489 Analog VLSI Implementation of Spatio-Temporal Frequency Tuned Visual Motion Algorithms Charles M. Higgins, Senior

More information

Paul M. Furth and Andreas G. Andreou. The Johns Hopkins University We ignore the eect of a non-zero drain conductance

Paul M. Furth and Andreas G. Andreou. The Johns Hopkins University We ignore the eect of a non-zero drain conductance Transconductors in Subthreshold CMOS Paul M. Furth and Andreas G. Andreou Department of Electrical and Computer Engineering The Johns Hopkins University Baltimore, MD 228 Abstract Four schemes for linearizing

More information

Limulus eye: a filter cascade. Limulus 9/23/2011. Dynamic Response to Step Increase in Light Intensity

Limulus eye: a filter cascade. Limulus 9/23/2011. Dynamic Response to Step Increase in Light Intensity Crab cam (Barlow et al., 2001) self inhibition recurrent inhibition lateral inhibition - L17. Neural processing in Linear Systems 2: Spatial Filtering C. D. Hopkins Sept. 23, 2011 Limulus Limulus eye:

More information

THE MAJORITY of modern autonomous robots are built

THE MAJORITY of modern autonomous robots are built 2384 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 A Biomimetic VLSI Sensor for Visual Tracking of Small Moving Targets Charles M. Higgins, Senior Member,

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

10mW CMOS Retina and Classifier for Handheld, 1000Images/s Optical Character Recognition System

10mW CMOS Retina and Classifier for Handheld, 1000Images/s Optical Character Recognition System TP 12.1 10mW CMOS Retina and Classifier for Handheld, 1000Images/s Optical Character Recognition System Peter Masa, Pascal Heim, Edo Franzi, Xavier Arreguit, Friedrich Heitger, Pierre Francois Ruedi, Pascal

More information

NEW CIRCUIT TECHNIQUES AND DESIGN METHODES FOR INTEGRATED CIRCUITS PROCESSING SIGNALS FROM CMOS SENSORS

NEW CIRCUIT TECHNIQUES AND DESIGN METHODES FOR INTEGRATED CIRCUITS PROCESSING SIGNALS FROM CMOS SENSORS 11 NEW CIRCUIT TECHNIQUES ND DESIGN METHODES FOR INTEGRTED CIRCUITS PROCESSING SIGNLS FROM CMOS SENSORS Paul ULPOIU *, Emil SOFRON ** * Texas Instruments, Dallas, US, Email: paul.vulpoiu@gmail.com ** University

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

MULTI-LAYERED HYBRID ARCHITECTURE TO SOLVE COMPLEX TASKS OF AN AUTONOMOUS MOBILE ROBOT

MULTI-LAYERED HYBRID ARCHITECTURE TO SOLVE COMPLEX TASKS OF AN AUTONOMOUS MOBILE ROBOT MULTI-LAYERED HYBRID ARCHITECTURE TO SOLVE COMPLEX TASKS OF AN AUTONOMOUS MOBILE ROBOT F. TIECHE, C. FACCHINETTI and H. HUGLI Institute of Microtechnology, University of Neuchâtel, Rue de Tivoli 28, CH-2003

More information

510 IEEE SENSORS JOURNAL, VOL. 4, NO. 4, AUGUST 2004

510 IEEE SENSORS JOURNAL, VOL. 4, NO. 4, AUGUST 2004 510 IEEE SENSORS JOURNAL, VOL. 4, NO. 4, AUGUST 2004 A Low-Photocurrent CMOS Retinal Focal-Plane Sensor With a Pseudo-BJT Smoothing Network and an Adaptive Current Schmitt Trigger for Scanner Applications

More information

Night-time pedestrian detection via Neuromorphic approach

Night-time pedestrian detection via Neuromorphic approach Night-time pedestrian detection via Neuromorphic approach WOO JOON HAN, IL SONG HAN Graduate School for Green Transportation Korea Advanced Institute of Science and Technology 335 Gwahak-ro, Yuseong-gu,

More information

Image acquisition. In both cases, the digital sensing element is one of the following: Line array Area array. Single sensor

Image acquisition. In both cases, the digital sensing element is one of the following: Line array Area array. Single sensor Image acquisition Digital images are acquired by direct digital acquisition (digital still/video cameras), or scanning material acquired as analog signals (slides, photographs, etc.). In both cases, the

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.658 ISSN(Online) 2233-4866 Integrate-and-Fire Neuron Circuit

More information

VLSI Implementation of Impulse Noise Suppression in Images

VLSI Implementation of Impulse Noise Suppression in Images VLSI Implementation of Impulse Noise Suppression in Images T. Satyanarayana 1, A. Ravi Chandra 2 1 PG Student, VRS & YRN College of Engg. & Tech.(affiliated to JNTUK), Chirala 2 Assistant Professor, Department

More information

Neuromorphic VLSI Event-Based devices and systems

Neuromorphic VLSI Event-Based devices and systems Neuromorphic VLSI Event-Based devices and systems Giacomo Indiveri Institute of Neuroinformatics University of Zurich and ETH Zurich LTU, Lulea May 28, 2012 G.Indiveri (http://ncs.ethz.ch/) Neuromorphic

More information

Operational Amplifiers

Operational Amplifiers Operational Amplifiers Table of contents 1. Design 1.1. The Differential Amplifier 1.2. Level Shifter 1.3. Power Amplifier 2. Characteristics 3. The Opamp without NFB 4. Linear Amplifiers 4.1. The Non-Inverting

More information

Single Chip for Imaging, Color Segmentation, Histogramming and Pattern Matching

Single Chip for Imaging, Color Segmentation, Histogramming and Pattern Matching Paper Title: Single Chip for Imaging, Color Segmentation, Histogramming and Pattern Matching Authors: Ralph Etienne-Cummings 1,2, Philippe Pouliquen 1,2, M. Anthony Lewis 1 Affiliation: 1 Iguana Robotics,

More information

Exercise questions for Machine vision

Exercise questions for Machine vision Exercise questions for Machine vision This is a collection of exercise questions. These questions are all examination alike which means that similar questions may appear at the written exam. I ve divided

More information

By Pierre Olivier, Vice President, Engineering and Manufacturing, LeddarTech Inc.

By Pierre Olivier, Vice President, Engineering and Manufacturing, LeddarTech Inc. Leddar optical time-of-flight sensing technology, originally discovered by the National Optics Institute (INO) in Quebec City and developed and commercialized by LeddarTech, is a unique LiDAR technology

More information

ABSTRACT. Section I Overview of the µdss

ABSTRACT. Section I Overview of the µdss An Autonomous Low Power High Resolution micro-digital Sun Sensor Ning Xie 1, Albert J.P. Theuwissen 1, 2 1. Delft University of Technology, Delft, the Netherlands; 2. Harvest Imaging, Bree, Belgium; ABSTRACT

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

IN RECENT years, we have often seen three-dimensional

IN RECENT years, we have often seen three-dimensional 622 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Design and Implementation of Real-Time 3-D Image Sensor With 640 480 Pixel Resolution Yusuke Oike, Student Member, IEEE, Makoto Ikeda,

More information

CALIFORNIA INSTITUTE OF TECHNOLOGY COMPUTATION AND NEURAL SYSTEMS PROGRAM. for computing similarity and dissimilarity of analog voltages T.

CALIFORNIA INSTITUTE OF TECHNOLOGY COMPUTATION AND NEURAL SYSTEMS PROGRAM. for computing similarity and dissimilarity of analog voltages T. CALIFORNIA INSTITUTE OF TECHNOLOGY COMPUTATION AND NEURAL SYSTEMS PROGRAM MAY 24, 1993 CNS MEMO 26 BUMP CIRCUITS for computing similarity and dissimilarity of analog voltages T. Delbrück AntiBump Bump

More information

Adaptive Motion Detectors Inspired By Insect Vision

Adaptive Motion Detectors Inspired By Insect Vision Adaptive Motion Detectors Inspired By Insect Vision Andrew D. Straw *, David C. O'Carroll *, and Patrick A. Shoemaker * Department of Physiology & Centre for Biomedical Engineering The University of Adelaide,

More information

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR Mark Downing 1, Peter Sinclaire 1. 1 ESO, Karl Schwartzschild Strasse-2, 85748 Munich, Germany. ABSTRACT The photon

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Performance Evaluation of Edge Detection Techniques for Square Pixel and Hexagon Pixel images

Performance Evaluation of Edge Detection Techniques for Square Pixel and Hexagon Pixel images Performance Evaluation of Edge Detection Techniques for Square Pixel and Hexagon Pixel images Keshav Thakur 1, Er Pooja Gupta 2,Dr.Kuldip Pahwa 3, 1,M.Tech Final Year Student, Deptt. of ECE, MMU Ambala,

More information

Lecture 10: Accelerometers (Part I)

Lecture 10: Accelerometers (Part I) Lecture 0: Accelerometers (Part I) ADXL 50 (Formerly the original ADXL 50) ENE 5400, Spring 2004 Outline Performance analysis Capacitive sensing Circuit architectures Circuit techniques for non-ideality

More information

THE REAL-TIME processing of visual motion is very

THE REAL-TIME processing of visual motion is very IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 1, JANUARY 2005 79 Reconfigurable Biologically Inspired Visual Motion Systems Using Modular Neuromorphic VLSI Chips Erhan Özalevli,

More information

Sensor. Sensor 1,1 1,2. Sensor. Sensor 2,2 2, x-trans y-trans. i x

Sensor. Sensor 1,1 1,2. Sensor. Sensor 2,2 2, x-trans y-trans. i x 0 This is a blank page. Analog VLSI Subaperture Centroid Circuits æ Paul M. Furth The Klipsch School of Electrical & Computer Engineering New Mexico State University, MSC 3-0 Las Cruces NM 88003, USA pfurth@nmsu.edu

More information

EFFICIENT DRIVER DESIGN FOR AMOLED DISPLAYS

EFFICIENT DRIVER DESIGN FOR AMOLED DISPLAYS EFFICIENT DRIVER DESIGN FOR AMOLED DISPLAYS CH. Ganesh and S. Satheesh Kumar Department of SENSE (VLSI Design), VIT University, Vellore India E-Mail: chokkakulaganesh@gmail.com ABSTRACT The conventional

More information

FOR multi-chip neuromorphic systems, the address event

FOR multi-chip neuromorphic systems, the address event 48 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 54, NO. 1, JANUARY 2007 AER EAR: A Matched Silicon Cochlea Pair With Address Event Representation Interface Vincent Chan, Student Member,

More information

Simulation of Algorithms for Pulse Timing in FPGAs

Simulation of Algorithms for Pulse Timing in FPGAs 2007 IEEE Nuclear Science Symposium Conference Record M13-369 Simulation of Algorithms for Pulse Timing in FPGAs Michael D. Haselman, Member IEEE, Scott Hauck, Senior Member IEEE, Thomas K. Lewellen, Senior

More information

Neuromorphic Analog VLSI

Neuromorphic Analog VLSI Neuromorphic Analog VLSI David W. Graham West Virginia University Lane Department of Computer Science and Electrical Engineering 1 Neuromorphic Analog VLSI Each word has meaning Neuromorphic Analog VLSI

More information

The introduction and background in the previous chapters provided context in

The introduction and background in the previous chapters provided context in Chapter 3 3. Eye Tracking Instrumentation 3.1 Overview The introduction and background in the previous chapters provided context in which eye tracking systems have been used to study how people look at

More information

Optical Correlator for Image Motion Compensation in the Focal Plane of a Satellite Camera

Optical Correlator for Image Motion Compensation in the Focal Plane of a Satellite Camera 15 th IFAC Symposium on Automatic Control in Aerospace Bologna, September 6, 2001 Optical Correlator for Image Motion Compensation in the Focal Plane of a Satellite Camera K. Janschek, V. Tchernykh, -

More information

VERY LARGE SCALE INTEGRATION signal processing

VERY LARGE SCALE INTEGRATION signal processing IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 44, NO. 9, SEPTEMBER 1997 723 Auditory Feature Extraction Using Self-Timed, Continuous-Time Discrete-Signal Processing

More information

1 st IFAC Conference on Mechatronic Systems - Mechatronics 2000, September 18-20, 2000, Darmstadt, Germany

1 st IFAC Conference on Mechatronic Systems - Mechatronics 2000, September 18-20, 2000, Darmstadt, Germany 1 st IFAC Conference on Mechatronic Systems - Mechatronics 2000, September 18-20, 2000, Darmstadt, Germany SPACE APPLICATION OF A SELF-CALIBRATING OPTICAL PROCESSOR FOR HARSH MECHANICAL ENVIRONMENT V.

More information

280 K. Salama et al. 2. Proposed Architecture The architecture is formed of a 2D, photoreceptor array. A modi ed photoreceptor is used in orde

280 K. Salama et al. 2. Proposed Architecture The architecture is formed of a 2D, photoreceptor array. A modi ed photoreceptor is used in orde Analog Integrated Circuits and Signal Processing, 19, 279±293 (1999) # 1999 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. CMOS Programmable Imager Implementing Pre-Processing Operations

More information

Optimizing Brainstorm s Architecture

Optimizing Brainstorm s Architecture 28 June 2016 - ONR Annual Program Review - Stanford CA Optimizing Brainstorm s Architecture Kwabena Boahen Bioengineering and Electrical Engineering (by courtesy) Stanford University Eliasmith, 2013 Outline

More information

ELEC Dr Reji Mathew Electrical Engineering UNSW

ELEC Dr Reji Mathew Electrical Engineering UNSW ELEC 4622 Dr Reji Mathew Electrical Engineering UNSW Filter Design Circularly symmetric 2-D low-pass filter Pass-band radial frequency: ω p Stop-band radial frequency: ω s 1 δ p Pass-band tolerances: δ

More information

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations CHAPTER 3 Instrumentation Amplifier (IA) Background 3.1 Introduction The IAs are key circuits in many sensor readout systems where, there is a need to amplify small differential signals in the presence

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Neurons... in a nutshell A quick tutorial. Silicon Neurons. Neurons of the world. Equivalent Circuit. E ex (Na +,...) Glutammate. V mem. C mem.

Neurons... in a nutshell A quick tutorial. Silicon Neurons. Neurons of the world. Equivalent Circuit. E ex (Na +,...) Glutammate. V mem. C mem. Neurons... in a nutshell quick tutorial Silicon Neurons CNS WS7/8 Class Giacomo Indiveri Institute of Neuroinformatics University ETH Zurich Zurich, December 7 Complexity Real Neurons Conductance based

More information

LOW-POWER TRACKING IMAGE SENSOR BASED ON BIOLOGICAL MODELS OF ATTENTION. Alexander Fish, Liby Sudakov-Boreysha, Orly Yadid-Pecht

LOW-POWER TRACKING IMAGE SENSOR BASED ON BIOLOGICAL MODELS OF ATTENTION. Alexander Fish, Liby Sudakov-Boreysha, Orly Yadid-Pecht International Journal "Information Theories & Applications" Vol.14 / 2007 103 LOW-POWER TRACKING IMAGE SENSOR BASED ON BIOLOGICAL MODELS OF ATTENTION Alexander Fish, Liby Sudakov-Boreysha, Orly Yadid-Pecht

More information

CMOS Architecture of Synchronous Pulse-Coupled Neural Network and Its Application to Image Processing

CMOS Architecture of Synchronous Pulse-Coupled Neural Network and Its Application to Image Processing CMOS Architecture of Synchronous Pulse-Coupled Neural Network and Its Application to Image Processing Yasuhiro Ota Bogdan M. Wilamowski Image Information Products Hdqrs. College of Engineering MINOLTA

More information

THE BENEFITS OF DSP LOCK-IN AMPLIFIERS

THE BENEFITS OF DSP LOCK-IN AMPLIFIERS THE BENEFITS OF DSP LOCK-IN AMPLIFIERS If you never heard of or don t understand the term lock-in amplifier, you re in good company. With the exception of the optics industry where virtually every major

More information

A software video stabilization system for automotive oriented applications

A software video stabilization system for automotive oriented applications A software video stabilization system for automotive oriented applications A. Broggi, P. Grisleri Dipartimento di Ingegneria dellinformazione Universita degli studi di Parma 43100 Parma, Italy Email: {broggi,

More information

High Performance Imaging Using Large Camera Arrays

High Performance Imaging Using Large Camera Arrays High Performance Imaging Using Large Camera Arrays Presentation of the original paper by Bennett Wilburn, Neel Joshi, Vaibhav Vaish, Eino-Ville Talvala, Emilio Antunez, Adam Barth, Andrew Adams, Mark Horowitz,

More information

ISSCC 2006 / SESSION 16 / MEMS AND SENSORS / 16.1

ISSCC 2006 / SESSION 16 / MEMS AND SENSORS / 16.1 16.1 A 4.5mW Closed-Loop Σ Micro-Gravity CMOS-SOI Accelerometer Babak Vakili Amini, Reza Abdolvand, Farrokh Ayazi Georgia Institute of Technology, Atlanta, GA Recently, there has been an increasing demand

More information

Human Vision and Human-Computer Interaction. Much content from Jeff Johnson, UI Wizards, Inc.

Human Vision and Human-Computer Interaction. Much content from Jeff Johnson, UI Wizards, Inc. Human Vision and Human-Computer Interaction Much content from Jeff Johnson, UI Wizards, Inc. are these guidelines grounded in perceptual psychology and how can we apply them intelligently? Mach bands:

More information

PVT Insensitive Reference Current Generation

PVT Insensitive Reference Current Generation Proceedings of the International MultiConference of Engineers Computer Scientists 2014 Vol II,, March 12-14, 2014, Hong Kong PVT Insensitive Reference Current Generation Suhas Vishwasrao Shinde Abstract

More information

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology Smart Vision Chip Fabricated Using Three Dimensional Integration Technology H.Kurino, M.Nakagawa, K.W.Lee, T.Nakamura, Y.Yamada, K.T.Park and M.Koyanagi Dept. of Machine Intelligence and Systems Engineering,

More information

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras Paul Gallagher, Andy Brewster VLSI Vision Ltd. San Jose, CA/USA Abstract VLSI Vision Ltd. has developed the VV6801 color sensor to address

More information

Multi-Resolution Estimation of Optical Flow on Vehicle Tracking under Unpredictable Environments

Multi-Resolution Estimation of Optical Flow on Vehicle Tracking under Unpredictable Environments , pp.32-36 http://dx.doi.org/10.14257/astl.2016.129.07 Multi-Resolution Estimation of Optical Flow on Vehicle Tracking under Unpredictable Environments Viet Dung Do 1 and Dong-Min Woo 1 1 Department of

More information

Image Formation: Camera Model

Image Formation: Camera Model Image Formation: Camera Model Ruigang Yang COMP 684 Fall 2005, CS684-IBMR Outline Camera Models Pinhole Perspective Projection Affine Projection Camera with Lenses Digital Image Formation The Human Eye

More information

ON CHIP ERROR COMPENSATION, LIGHT ADAPTATION, AND IMAGE ENHANCEMENT WITH A CMOS TRANSFORM IMAGE SENSOR

ON CHIP ERROR COMPENSATION, LIGHT ADAPTATION, AND IMAGE ENHANCEMENT WITH A CMOS TRANSFORM IMAGE SENSOR ON CHIP ERROR COMPENSATION, LIGHT ADAPTATION, AND IMAGE ENHANCEMENT WITH A CMOS TRANSFORM IMAGE SENSOR A Thesis Presented to The Academic Faculty By Ryan Robucci In Partial Fulfillment of the Requirements

More information

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Piotr Dudek School of Electrical and Electronic Engineering, University of Manchester

More information

A Silicon Model of an Auditory Neural Representation of Spectral Shape

A Silicon Model of an Auditory Neural Representation of Spectral Shape A Silicon Model of an Auditory Neural Representation of Spectral Shape John Lazzaro 1 California Institute of Technology Pasadena, California, USA Abstract The paper describes an analog integrated circuit

More information

Analysis and Simulation of CTIA-based Pixel Reset Noise

Analysis and Simulation of CTIA-based Pixel Reset Noise Analysis and Simulation of CTIA-based Pixel Reset Noise D. A. Van Blerkom Forza Silicon Corporation 48 S. Chester Ave., Suite 200, Pasadena, CA 91106 ABSTRACT This paper describes an approach for accurately

More information

A Parallel Analog CCD/CMOS Signal Processor

A Parallel Analog CCD/CMOS Signal Processor A Parallel Analog CCD/CMOS Signal Processor Charles F. Neugebauer Amnon Yariv Department of Applied Physics California Institute of Technology Pasadena, CA 91125 Abstract A CCO based signal processing

More information

An accurate track-and-latch comparator

An accurate track-and-latch comparator An accurate track-and-latch comparator K. D. Sadeghipour a) University of Tabriz, Tabriz 51664, Iran a) dabbagh@tabrizu.ac.ir Abstract: In this paper, a new accurate track and latch comparator circuit

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

8.2 IMAGE PROCESSING VERSUS IMAGE ANALYSIS Image processing: The collection of routines and

8.2 IMAGE PROCESSING VERSUS IMAGE ANALYSIS Image processing: The collection of routines and 8.1 INTRODUCTION In this chapter, we will study and discuss some fundamental techniques for image processing and image analysis, with a few examples of routines developed for certain purposes. 8.2 IMAGE

More information

Applying Automated Optical Inspection Ben Dawson, DALSA Coreco Inc., ipd Group (987)

Applying Automated Optical Inspection Ben Dawson, DALSA Coreco Inc., ipd Group (987) Applying Automated Optical Inspection Ben Dawson, DALSA Coreco Inc., ipd Group bdawson@goipd.com (987) 670-2050 Introduction Automated Optical Inspection (AOI) uses lighting, cameras, and vision computers

More information

A Robust Neural Robot Navigation Using a Combination of Deliberative and Reactive Control Architectures

A Robust Neural Robot Navigation Using a Combination of Deliberative and Reactive Control Architectures A Robust Neural Robot Navigation Using a Combination of Deliberative and Reactive Control Architectures D.M. Rojas Castro, A. Revel and M. Ménard * Laboratory of Informatics, Image and Interaction (L3I)

More information

Automatic optical measurement of high density fiber connector

Automatic optical measurement of high density fiber connector Key Engineering Materials Online: 2014-08-11 ISSN: 1662-9795, Vol. 625, pp 305-309 doi:10.4028/www.scientific.net/kem.625.305 2015 Trans Tech Publications, Switzerland Automatic optical measurement of

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS

A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS Bhaskar Choubey, Satoshi Aoyama, Dileepan Joseph, Stephen Otim and Steve Collins Department of Engineering Science, University of

More information