Frequency Offset Estimation With Improved Convergence Time and Energy Consumption

Size: px
Start display at page:

Download "Frequency Offset Estimation With Improved Convergence Time and Energy Consumption"

Transcription

1 Frequecy Offset Estimatio With Improved Covergece Time ad Eergy Cosumptio M. Josie Ammer ad Ja Rabaey {mjammer, Uiversity of Califoria, Berkeley Abstract-A approach to simultaeously improve the eergy cosumptio ad covergece time (give the iput SNR ad required estimatio variace) of feed-forward data-aided frequecy estimatio is preseted. Four well-kow frequecy estimatio algorithms are compared usig actual ASIC hardware implemetatios to verify the results. It is demostrated how a modificatio to the algorithms ca simultaeously achieve lower eergy cosumptio ad improved covergece time. For example, for a iput SNR of 1dB ad required estimatio variace of 1-5, covergece time is decreased by a factor of while decreasig the eergy cosumptio by a factor of.3. Directios o how to apply these algorithms to spread spectrum systems are provided. INTRODUCTION I a typical wireless commuicatio system, imperfect upad dow-coversio caused by oidealities i the trasmitter ad receiver local oscillators (O) result i a carrier offset at the receiver. This offset causes a cotiuous rotatio of the sigal costellatio, ad must be corrected for reliable demodulatio of the received sigal. I some commuicatio systems, carrier recovery is performed by a phase-locked loop (P). However, if the carrier offset is greater tha the pull-i rage of the P, a coarse feedforward frequecy estimatio ad correctio must be performed before the sigal eters the P []. I some systems, the P is ot used i favor of a all-feed-forward algorithm. Covergece time of the sychroizatio subsystem is critical i wireless systems. If implemetig a stadardized wireless system, such as.11b, sychroizatio time is limited by the stadard s preamble or sych word. Improved covergece time for oe sychroizatio parameter may mea beig able to icrease system performace ad reliability by allocatig more of the sych word to the estimatio of this or other sychroizatio parameters. I the case of a o-stadardized wireless system, improved covergece time allows the use of a shorter sych word, reducig the packet overhead ad thereby reducig the system power cosumptio. Sice most wireless commuicatio devices are batterypowered, low power operatio is a primary cocer. owpower research is cocetrated i the RF, MAC, Network ad Applicatio layers of the wireless device while the sychroizatio system is ofte overlooked. However, sychroizatio systems for several commo wireless stadards, such as Bluetooth ad.11a take up more tha 15% of the physical layer die area. While the power cosumptio umbers are ot separately reported, we ca assume that the sychroizatio system power cosumptio is sigificat because of its sigificat area ad high clock rates. Ideed, i our ow work, we have foud the sychroizatio to have sigificat power cosumptio [] (approx 15% of the system power). This work examies four feed-forward data-aided frequecy offset estimatio algorithms ad compares the estimatio performace ad power cosumptio of each over estimatio legth ad iput SNR. A modificatio of these algorithms is preseted that simultaeously achieves lower power ad faster covergece time. FREQUENCY ESTIMATION AGORITHMS As described i [1] ad [], i the absece of ISI ad with moderate frequecy offset (less tha ~15% of the symbol rate), the sampled output of the matched filter (at oe sample per symbol), assumig perfect symbol sychroizatio, is give by j( φ T r = ae ω ) w, (1) where a is the th (complex) data symbol, φ is the carrier phase, ω is the carrier frequecy offset, T is the symbol duratio, ad w is a complex Gaussia white oise process with idepedet, zero-mea real ad imagiary parts each with variace σ = N /(E s ) where E s is the symbol eergy ad N, the oe-sided spectral desity of the oise. Also, as i [], we use the coveiet otatio of ormalized frequecy offset, defied as Ω = ωt. We examie the case of data-aided estimatio where the kow data symbols are removed before frequecy estimatio. This reduces to the problem of frequecy estimatio with a umodulated carrier []. This is the most commo use of frequecy estimatio i systems where a sychroizatio header is used, such as.11b. There are two well-kow algorithms for frequecy estimatio operatig with timig iformatio derived from the maximum likelihood equatios. The differece betwee the two depeds o whether or ot the agle of r is take before derivig the M algorithm. If the agle is take before, the result is the estimator[], This work is fuded by DARPA, GSRC, ad the BWRC member compaies.

2 Ω ˆ = 1 = 1 { r r } b arg, () 1 if the agle is take after, the result is the estimator [1], Ω = 1 ˆ arg b ( r r 1), (3) = 1 where 6( ) b =. () ( 1) Neither algorithm requires phase uwrappig, ad both are limited to frequecy offsets that obey Ω < π (5) It should be oted, that while differet weightig fuctios, b, ca be used, the oe give i () is optimal. A simplificatio, suggested i [1], that is ofte used i practice, substitutes a itegrate-ad-dump filter (b =1/) that computes a uweighted average, for the filter fuctio i () that computes a weighted average. We apply this simplificatio to both the ad estimators to expad the umber of estimators cosidered here to be four. The variace of the weighted Ωˆ Mw ad uweighted Ωˆ versios of the estimator are give i [1] as, [ ˆ Var Ω Mw ] = (6) ( 1) E ( ) s 5 1 Es ad 1 1 Var[ Ωˆ ] = E ( ) s Es. (7) The simulated performace of the four estimators ( weighted ad uweighted ad weighted ad uweighted) is show i Figure 1. Va riace 1.E-1 1.E- 1.E-3 1.E- 1.E-5 1.E-6 1.E-7 1.E- 1.E-9 1.E-1 1.E-11 SNR=1dB SNR=dB SNR=dB SNR=1dB SNR=dB Figure 1: ad ad Performace. The simulatios match the performace predicted by very closely. As expected, at high SNR, the performace of the two weighted estimators approach the Modified Cramer- Rao boud give i [] as 6 MCRB( Ω ) =. () ( 1)( Es ) While these algorithms have bee derived for the flat fadig chael, i practice, they also work for the frequecy selective fadig chael. The improved covergece time is achieved by exploitig a little-kow modificatio to these algorithms described i [1]. I the estimator equatios, the product, ( r ) is replaced with ( r r ). While this is ot a ew result, it is ofte D overlooked, for istace i [3]. The variace of the estimator is improved roughly as D. For istace, the performace of the uweighted estimator is give i [1] by [ Ωˆ 1 ] D 1 Var = / ( ). (9) D Es N Es The algorithms are ow limited to frequecy offsets that obey ΩD < π. (1) I practice, may systems ca tolerate D>1. If followig the rule of thumb that frequecy offset should be less tha 15% of the symbol rate, the D 3 is possible. I.11b, with a 5ppm carrier offset from a.ghz referece, the maximum frequecy offset is /- 1KHz, allowig D= to be used. Figure shows that eve D= yields a huge improvemet (decrease) i for a give variace. 1.E-1 1.E- 1.E-3 1.E- 1.E-5 1.E-6 1.E-7 1.E- 1.E-9 1.E r 1 D=1 D= SNR=1dB SNR=dB SNR=dB Figure : D=1, Performace. The block diagrams for the ad weighted estimators are show i Figure 3 ad Figure. To implemet the uweighted estimators, oe or two scalar multipliers are removed from the or estimators respectively.

3 I Q I Q Z -D complex Rect to S Polar b clear Figure 3: Block Diagram of the Estimator. Z -D complex b clear Figure : Block Diagram of the Estimator. SS Rect to Polar The goal is to choose the lowest power frequecy estimatio algorithm to achieve a give variace. The Mery ad algorithms seem to have similar hardware complexity upo first glace because they cosist of the same operatios but i a differet order. However, the orderig of operatios i hardware ca have a large impact o the power cosumptio. The simplificatio suggested i [1] of b =1/, reduces the hardware, but icurs a performace pealty. It is uclear at what poit, if ay, this hardware simplificatio will actually decrease eergy cosumptio. Icreasig D requires margially more hardware but gives a sigificat improvemet i performace. It is expected that this will be a good tradeoff because the hardware cost is so small. However, it is ukow by oly lookig at the estimator equatio ad the variace performace which algorithm to choose for a low power system. POWER ESTIMATION METHODOOGY Each frequecy estimatio algorithm was coded as a parameterized module i a high-level hardware descriptio laguage i Syopsys Module Compiler. Each module was sythesized i Module Compiler for a rage of parameters, such as iput SNR ad estimatio legth. Each sythesized VHD etlist from Module Compiler is icremetally compiled i Syopsys Desig Compiler to isert a clock tree ad to add buffer delays to fix hold time violatios. This step is very importat to get accurate power cosumptio because the clock tree ad delay buffers ca accout for 3-5% of the block power depedig o the ratio of registers to combiatioal logic. The block is the simulated at the gate level i ModelSim usig realistic iput vectors to verify fuctioality ad to determie the switchig activity o each ode. Simulatio usig realistic vectors is importat because it accurately characterizes the correlatio i the data stream that ofte exists i commuicatio systems which results i reduced power cosumptio versus usig statistical switchig activity. Syopsys Power Compiler is used to estimate the power cosumptio of the block usig the back aotated switchig activity ad statistical wire load models. Our ow experimets o several frequecy estimatio blocks with Ωˆ Kw Ωˆ Mw varyig iput ad output bit-widths have show this gate-level estimatio method to be accurate to withi 15% of the power cosumptio estimated by extractig parasitics from a postplace-ad-route block ad simulatig usig a switch-level simulator like PowerMill or NaoSim. Our ow experiece ad reports from our foudry have show that switch-level simulatios give 1-15% agreemet with power cosumptio of actual chips. Gate-level power estimatio is used because it is over 5 times faster tha switch-level simulatio (ot icludig the time it takes to place-ad-route the block as required to extract accurate parasitics). The total time to characterize all 1 differet chose istatiatios (3 differet SNR s, 7 differet s) of each algorithm is uder 3 hours usig the gate-level method. Eergy, rather tha power, is used as the cost metric for each block. This is because the frequecy estimatio takes a differet umber of cycles depedig o the iput SNR, required estimatio variace, ad which algorithm is selected. Aggressive low power desigs will gate the clock ad power rails to the frequecy estimatio block whe ot i use. Therefore, the way to fairly compare differet blocks is the eergy cosumptio, which is the power cosumed whe the block is o times the amout of time the block eeds to be o to achieve the desired variace. The eergy cosumptio reported here is for a.13um CMOS process. While the actual eergy cosumptio will chage for differet processes, the compariso of oe algorithm vs. aother is valid for most cotemporary processes. Obviously, the ratio of leakage power to switchig power ad power cosumed i the wires will vary betwee process ad this will alter the crossover poits of the curves, however the geeral results will remai true. AGORITHM COMPARISON AND RESUTS For each implemetatio, it is assumed that the umber of bits at the iput to the estimator is scaled depedig o the iput SNR. This is a reasoable assumptio because most systems employ good AGC ad would ot pay the cost pealty of implemetig a ADC that coverted more bits tha ecessary or a frequecy estimator that achieved better precisio tha was eeded. The bit widths are scaled up i subsequet blocks to accommodate the growig precisio. The accumulators are pre-scaled to accommodate the summatio of samples, ad the precisio of the weightig taps, b, is icreased with. The b coefficiets are hardwired before sythesis for the lowest power operatio. The rectagular-to-polar coversio is performed by a CORDIC [6] ad the umber of CORDIC stages is icreased depedig o the required precisio. These adjustmets esure that the hardware is ot sigificatly limitig the expected variace. The resultig eergy cosumptio of each estimator is show versus variace for a rage of iput SNR ad. Sice lower variace ad lower eergy cosumptio are desired, data poits to the bottom ad left are better. While this is the right presetatio of the data for optimizig the eergy of the frequecy estimator i isolatio, must be cosidered if a system-wide reductio i power cosumptio is to be

4 achieved because the RF ad aalog frot-ed are o for differet amouts of time. For istace, i the case where the frot-ed power domiates that of the frequecy estimatio, choosig a algorithm with smaller may optimize system eergy eve if it has higher frequecy estimatio eergy. Sice the absolute eergy cosumptio ad the for each data poit is give i the graphs, the desiger ca make the appropriate trade-off. Obviously, cases where both the power cosumptio ad covergece time () are decreased for the same variace are hads-dow wiers. Figure 5 compares the eergy cosumptio vs. variace of the weighted ad uweighted versios of the algorithm. At low SNR ad at high required variace, it is more eergy efficiet to use the o-weighted versio. Here, there is a small differece i variace betwee the two algorithms, so the hardware simplificatio of uweighted combiig pays off. However, at high SNR or low variace, it is more eergy efficiet to use the weightig fuctio. Here the eergy savigs from the uweighted averagig are outweighed by the loger correlatio times required to overcome the degradatio i variace. For istace, at db SNR, ad a required variace of 3x1-7, the uweighted estimator coverges i 1 samples, whereas the weighted estimator takes oly samples ad as a result, cosumes margially less eergy. Figure 6 compares the weighted ad uweighted versios of the algorithm. For the estimator, it is almost always better to use the weighted versio of the algorithm. This is to be expected because the variace of the uweighted versio of the algorithm severely uder performs the weighted versio. I this case, the hardware simplificatio of a uweighted average is ot worth the degradatio i variace. For istace, at db SNR, ad a required variace of x1-6, the uweighted estimator coverges i 1 samples, whereas the weighted estimator takes oly 3 samples ad as a result, cosumes 1/3 as much eergy. Eergy (pj) 1.E5 1.E 1.E3 1.E 1.E1 1.E SNR=dB SNR=dB SNR=1dB = E E-9 1.E- 7 1.E-5 1.E- 1.E-6 1.E- 1.E- 1.E-6 1.E- 1.E- 1.E Eergy (pj) 1.E 1.E3 1.E 1.E1 1.E SNR=dB SNR=1dB = E- 9 1.E-7 1.E- 5 1.E- 3 1.E- 1 1.E- 1.E-6 1.E- 1.E- 1.E Figure 5: vs. Compariso. Figure 6: vs. Compariso. Eergy (pj) 1.E5 1.E 1.E3 1.E 1.E1 1.E SNR=dB 1.E E- 9 1.E- 7 1.E-5 SNR=dB SNR=1dB = E- 1.E-6 1.E- 1.E- 1.E- 7 1.E- 5 1.E-3 1.E- 1 Eergy (pj) 1.E5 1.E 1.E3 1.E 1.E1 1.E D=1 D= SNR=dB = E- 1.E- 6 1.E- 1.E- 1.E-6 1.E- 1.E- 1.E SNR=1dB Figure 7: vs. Compariso. Figure : D=1 vs. D= Compariso.

5 Figure 7 compares the weighted versios of the ad algorithms. The weighted algorithm is almost always better tha or equal to the weighted algorithm. At low SNR, the marked advatage of the algorithm is due to the combiatio of achievig better variace ad requirig cosiderably less hardware to implemet tha the algorithm. At high SNR where the algorithms have similar variace performace ad similar hardware requiremets, the mior differeces mostly result from the correlatio of the data as it flows though the hardware. At high variace there is little differece betwee the two, while at low variace the algorithm wis out. Figure compares the weighted versio of the algorithm for D=1,. Icreasig D is almost always the right choice, especially for low variace. The power pealty is very small (oly oe extra register) ad the covergece time ca be markedly better. For example, for a iput SNR of 1dB ad required estimatio variace of 1-5, the covergece time is decreased by a factor of while simultaeously decreasig the eergy cosumptio by a factor of.3. APPICATION TO DSSS SYSTEMS For DSSS, it is sometimes suggested i the literature to apply these frequecy estimatio algorithms to chips rather tha symbols to maximize D; this is ot usually advatageous. Whereas the ormalized frequecy offset, Ω = ωt, is used i this paper, whe comparig the variace betwee chips ad symbols, the o-ormalized variace, Var[ ω]=var[ω]/t, must be used. Whe operatig o chips rather tha symbols, D is icreased by a factor of N (where N is the spreadig code legth) but both T ad SNR are decreased by a factor of N. Assumig the same header legth ad miimal power loss i the code correlator due to frequecy offset, the performace whe operatig o chips is sigificatly worse tha whe operatig o symbols. The oly time oe would operate o chips is with a large frequecy offset. If, the costrait i (5) is ot satisfied for symbol operatio, oe could operate o chips ad still be able to use the algorithms described above without havig to resort to more complex FFT-based algorithms. The pealty for performig frequecy estimatio o chips is reduced whe there is severe SNR degradatio i the code correlator due to a large frequecy offset. For.11b-like symbols (11-bit barker sequece spreadig, root-raised cosie trasmit ad receive filters w/ 5% excess badwidth), the power loss for correlatio prior to frequecy-offset correctio is approximately 3db with a 6Khz offset. Depedig o the required variace, it may be advatageous to do a coarse frequecy estimatio by operatig o chips, the perform a coarse frequecy correctio, correlate to symbols, ad perform the fie frequecy estimatio by operatig o symbols. I all cases, because of the SNR degradatio due to correlatio i the presece of frequecy offset, eve if frequecy-offset estimatio is performed o symbols, the frequecy-offset correctio should be applied to chips. CONCUSIONS Four feed-forward frequecy estimators were characterized for eergy cosumptio ad variace for a give iput SNR ad correlatio legth. It was foud that the weighted estimator is a safe bet for all regios of operatio, especially for high SNR ad low required variace. The uweighted estimator may be used for low SNR ad high required variace. Exploitig D is the most powerful way to simultaeously decrease covergece time ad eergy cosumptio especially for low required variace. It is surprisig to fid that certai hardware simplificatios, such as usig D=1 ad uweighted averagig does ot usually result i lower eergy cosumptio. The degradatio i variace due to these simplificatios requires loger covergece times ad more eergy cosumptio. ACKNOWEDGMENT The authors would like to thak Mike Sheets for his valuable help with the power estimatio methodology. REFERENCES [1] H., M. Moeeclaey, ad S. A. Fechtel, Digital Commuicatio Receivers: Sychroizatio, Chael Estimatio ad Sigal Processig, Wiley Press, 199. [] G. Tavares,. Tavares, ad M. Piedade, Improved Cramer-Rao ower Bouds for Phase ad Frequecy Estimatio With M-PSK Sigals, IEEE Trasactios o Commuicatios, Vol. 9, No. 1, December 1. [3] K. Barma ad V Reddy, Maximum iklihood Clock ad Carrier Recovery i a Direct Sequece Spread Spectrum Commuicatio System, Proceedigs of the Iteratioal Coferece o Persoal Wireless Commuicatio,. [] M. J. Ammer, M. Sheets, T. Karalar, M. Kuulusa, ad J. Rabaey, A ow-eergy Chip-Set for Wireless Itercom, Proceedigs of the Desig Automatio Coferece (DAC), 3. [5] O. Besso ad P. Stoica, O Frequecy Offset Estimatio for Flat- Fadig Chaels, IEEE Commuicatio etters, Vol. 5, Issue 1, October 1. [6] K. Turkowski, Fixed-Poit Trigoometry with CORDIC Iteratios. Apple Computer White Paper, Jauary 17, 199.

A New Space-Repetition Code Based on One Bit Feedback Compared to Alamouti Space-Time Code

A New Space-Repetition Code Based on One Bit Feedback Compared to Alamouti Space-Time Code Proceedigs of the 4th WSEAS It. Coferece o Electromagetics, Wireless ad Optical Commuicatios, Veice, Italy, November 0-, 006 107 A New Space-Repetitio Code Based o Oe Bit Feedback Compared to Alamouti

More information

APPLICATION NOTE UNDERSTANDING EFFECTIVE BITS

APPLICATION NOTE UNDERSTANDING EFFECTIVE BITS APPLICATION NOTE AN95091 INTRODUCTION UNDERSTANDING EFFECTIVE BITS Toy Girard, Sigatec, Desig ad Applicatios Egieer Oe criteria ofte used to evaluate a Aalog to Digital Coverter (ADC) or data acquisitio

More information

LETTER A Novel Adaptive Channel Estimation Scheme for DS-CDMA

LETTER A Novel Adaptive Channel Estimation Scheme for DS-CDMA 1274 LETTER A Novel Adaptive Chael Estimatio Scheme for DS-CDMA Che HE a), Member ad Xiao-xiag LI, Nomember SUMMARY This paper proposes a adaptive chael estimatio scheme, which uses differet movig average

More information

Comparison of Frequency Offset Estimation Methods for OFDM Burst Transmission in the Selective Fading Channels

Comparison of Frequency Offset Estimation Methods for OFDM Burst Transmission in the Selective Fading Channels Compariso of Frequecy Offset Estimatio Methods for OFDM Burst Trasmissio i the Selective Fadig Chaels Zbigiew Długaszewski Istitute of Electroics ad Telecommuicatios Pozań Uiversity of Techology 60-965

More information

Analysis of SDR GNSS Using MATLAB

Analysis of SDR GNSS Using MATLAB Iteratioal Joural of Computer Techology ad Electroics Egieerig (IJCTEE) Volume 5, Issue 3, Jue 2015 Aalysis of SDR GNSS Usig MATLAB Abstract This paper explais a software defied radio global avigatio satellite

More information

INCREASE OF STRAIN GAGE OUTPUT VOLTAGE SIGNALS ACCURACY USING VIRTUAL INSTRUMENT WITH HARMONIC EXCITATION

INCREASE OF STRAIN GAGE OUTPUT VOLTAGE SIGNALS ACCURACY USING VIRTUAL INSTRUMENT WITH HARMONIC EXCITATION XIX IMEKO World Cogress Fudametal ad Applied Metrology September 6, 9, Lisbo, Portugal INCREASE OF STRAIN GAGE OUTPUT VOLTAGE SIGNALS ACCURACY USING VIRTUAL INSTRUMENT WITH HARMONIC EXCITATION Dalibor

More information

Super J-MOS Low Power Loss Superjunction MOSFETs

Super J-MOS Low Power Loss Superjunction MOSFETs Low Power Loss Superjuctio MOSFETs Takahiro Tamura Mutsumi Sawada Takayuki Shimato ABSTRACT Fuji Electric has developed superjuctio MOSFETs with a optimized surface desig that delivers lower switchig.

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Desig of FPGA- Based SPWM Sigle Phase Full-Bridge Iverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 ad Farrah Salwai Abdullah 1 1 Faculty of Electrical ad Electroic Egieerig, UTHM *Email:afarul@uthm.edu.my

More information

CHAPTER 5 A NEAR-LOSSLESS RUN-LENGTH CODER

CHAPTER 5 A NEAR-LOSSLESS RUN-LENGTH CODER 95 CHAPTER 5 A NEAR-LOSSLESS RUN-LENGTH CODER 5.1 GENERAL Ru-legth codig is a lossless image compressio techique, which produces modest compressio ratios. Oe way of icreasig the compressio ratio of a ru-legth

More information

Outline. Motivation. Analog Functional Testing in Mixed-Signal Systems. Motivation and Background. Built-In Self-Test Architecture

Outline. Motivation. Analog Functional Testing in Mixed-Signal Systems. Motivation and Background. Built-In Self-Test Architecture Aalog Fuctioal Testig i Mixed-Sigal s Jie Qi Dept. of Electrical & Computer Egieerig Aubur Uiversity Co-Advisors: Charles Stroud ad Foster Dai Outlie Motivatio ad Backgroud Built-I Self-Test Architecture

More information

Reconfigurable architecture of RNS based high speed FIR filter

Reconfigurable architecture of RNS based high speed FIR filter Idia Joural of Egieerig & Materials Scieces Vol. 21, April 214, pp. 233-24 Recofigurable architecture of RNS based high speed FIR filter J Britto Pari* & S P Joy Vasatha Rai Departmet of Electroics Egieerig,

More information

Design of FPGA Based SPWM Single Phase Inverter

Design of FPGA Based SPWM Single Phase Inverter Proceedigs of MUCEET2009 Malaysia Techical Uiversities Coferece o Egieerig ad Techology Jue 20-22, 2009, MS Garde,Kuata, Pahag, Malaysia MUCEET2009 Desig of FPGA Based SPWM Sigle Phase Iverter Afarulrazi

More information

CHAPTER 8 JOINT PAPR REDUCTION AND ICI CANCELLATION IN OFDM SYSTEMS

CHAPTER 8 JOINT PAPR REDUCTION AND ICI CANCELLATION IN OFDM SYSTEMS CHAPTER 8 JOIT PAPR REDUCTIO AD ICI CACELLATIO I OFDM SYSTEMS Itercarrier Iterferece (ICI) is aother major issue i implemetig a OFDM system. As discussed i chapter 3, the OFDM subcarriers are arrowbad

More information

Introduction to Wireless Communication Systems ECE 476/ECE 501C/CS 513 Winter 2003

Introduction to Wireless Communication Systems ECE 476/ECE 501C/CS 513 Winter 2003 troductio to Wireless Commuicatio ystems ECE 476/ECE 501C/C 513 Witer 2003 eview for Exam #1 March 4, 2003 Exam Details Must follow seatig chart - Posted 30 miutes before exam. Cheatig will be treated

More information

Combined Scheme for Fast PN Code Acquisition

Combined Scheme for Fast PN Code Acquisition 13 th Iteratioal Coferece o AEROSPACE SCIENCES & AVIATION TECHNOLOGY, ASAT- 13, May 6 8, 009, E-Mail: asat@mtc.edu.eg Military Techical College, Kobry Elkobbah, Cairo, Egypt Tel : +(0) 4059 4036138, Fax:

More information

Single Bit DACs in a Nutshell. Part I DAC Basics

Single Bit DACs in a Nutshell. Part I DAC Basics Sigle Bit DACs i a Nutshell Part I DAC Basics By Dave Va Ess, Pricipal Applicatio Egieer, Cypress Semicoductor May embedded applicatios require geeratig aalog outputs uder digital cotrol. It may be a DC

More information

Introduction to CPM-OFDM: An Energy Efficient Multiple Access Transmission Scheme

Introduction to CPM-OFDM: An Energy Efficient Multiple Access Transmission Scheme Joural of Commuicatio ad Computer 1 (015) 37-43 doi: 10.1765/1548-7709/015.01.007 D DAVID PUBLISHING Itroductio to CPM-OFDM: A Eergy Efficiet Multiple Access Trasmissio Scheme Mohammad Irfa, Sag Hoo Lee

More information

Unit 5: Estimating with Confidence

Unit 5: Estimating with Confidence Uit 5: Estimatig with Cofidece Sectio 8.2 The Practice of Statistics, 4 th editio For AP* STARNES, YATES, MOORE Uit 5 Estimatig with Cofidece 8.1 8.2 8.3 Cofidece Itervals: The Basics Estimatig a Populatio

More information

ECE 333: Introduction to Communication Networks Fall Lecture 4: Physical layer II

ECE 333: Introduction to Communication Networks Fall Lecture 4: Physical layer II ECE 333: Itroductio to Commuicatio Networks Fall 22 Lecture : Physical layer II Impairmets - distortio, oise Fudametal limits Examples Notes: his lecture cotiues the discussio of the physical layer. Recall,

More information

Massachusetts Institute of Technology Dept. of Electrical Engineering and Computer Science Fall Semester, Introduction to EECS 2.

Massachusetts Institute of Technology Dept. of Electrical Engineering and Computer Science Fall Semester, Introduction to EECS 2. Massachusetts Istitute of Techology Dept. of Electrical Egieerig ad Computer Sciece Fall Semester, 006 6.08 Itroductio to EECS Prelab Exercises Pre-Lab#3 Modulatio, demodulatio, ad filterig are itegral

More information

A New Design of Log-Periodic Dipole Array (LPDA) Antenna

A New Design of Log-Periodic Dipole Array (LPDA) Antenna Joural of Commuicatio Egieerig, Vol., No., Ja.-Jue 0 67 A New Desig of Log-Periodic Dipole Array (LPDA) Atea Javad Ghalibafa, Seyed Mohammad Hashemi, ad Seyed Hassa Sedighy Departmet of Electrical Egieerig,

More information

High Speed Area Efficient Modulo 2 1

High Speed Area Efficient Modulo 2 1 High Speed Area Efficiet Modulo 2 1 1-Soali Sigh (PG Scholar VLSI, RKDF Ist Bhopal M.P) 2- Mr. Maish Trivedi (HOD EC Departmet, RKDF Ist Bhopal M.P) Adder Abstract Modular adder is oe of the key compoets

More information

H2 Mathematics Pure Mathematics Section A Comprehensive Checklist of Concepts and Skills by Mr Wee Wen Shih. Visit: wenshih.wordpress.

H2 Mathematics Pure Mathematics Section A Comprehensive Checklist of Concepts and Skills by Mr Wee Wen Shih. Visit: wenshih.wordpress. H2 Mathematics Pure Mathematics Sectio A Comprehesive Checklist of Cocepts ad Skills by Mr Wee We Shih Visit: weshih.wordpress.com Updated: Ja 2010 Syllabus topic 1: Fuctios ad graphs 1.1 Checklist o Fuctios

More information

Problem of calculating time delay between pulse arrivals

Problem of calculating time delay between pulse arrivals America Joural of Egieerig Research (AJER) 5 America Joural of Egieerig Research (AJER) e-issn: 3-847 p-issn : 3-936 Volume-4, Issue-4, pp-3-4 www.ajer.org Research Paper Problem of calculatig time delay

More information

Recovering low frequencies for impedance inversion by frequency domain deconvolution

Recovering low frequencies for impedance inversion by frequency domain deconvolution Recoverig low frequecies for impedace iversio by frequecy domai decovolutio Sia. Esmaeili*, CREWES, Uiversity of Calgary, sesmaeil@ucalgary.ca Gary. Frak. Margrave, CREWES, Uiversity of Calgary, margrave@ucalgary.ca

More information

Measurement of Equivalent Input Distortion AN 20

Measurement of Equivalent Input Distortion AN 20 Measuremet of Equivalet Iput Distortio AN 2 Applicatio Note to the R&D SYSTEM Traditioal measuremets of harmoic distortio performed o loudspeakers reveal ot oly the symptoms of the oliearities but also

More information

Tehrani N Journal of Scientific and Engineering Research, 2018, 5(7):1-7

Tehrani N Journal of Scientific and Engineering Research, 2018, 5(7):1-7 Available olie www.jsaer.com, 2018, 5(7):1-7 Research Article ISSN: 2394-2630 CODEN(USA): JSERBR 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38

More information

Document downloaded from: This paper must be cited as:

Document downloaded from:  This paper must be cited as: Documet dowloaded from: http://hdl.hadle.et/10251/44304 This paper must be cited as: Caet Subiela, MJ.; Valls Coquillat, J.; Almear Terre, V.; Marí-Roig Ramó, J. (2012). FPGA implemetatio of a OFDM-based

More information

Intermediate Information Structures

Intermediate Information Structures Modified from Maria s lectures CPSC 335 Itermediate Iformatio Structures LECTURE 11 Compressio ad Huffma Codig Jo Roke Computer Sciece Uiversity of Calgary Caada Lecture Overview Codes ad Optimal Codes

More information

HOW BAD RECEIVER COORDINATES CAN AFFECT GPS TIMING

HOW BAD RECEIVER COORDINATES CAN AFFECT GPS TIMING HOW BAD RECEIVER COORDINATES CAN AFFECT GPS TIMING H. Chadsey U.S. Naval Observatory Washigto, D.C. 2392 Abstract May sources of error are possible whe GPS is used for time comparisos. Some of these mo

More information

Delta- Sigma Modulator with Signal Dependant Feedback Gain

Delta- Sigma Modulator with Signal Dependant Feedback Gain Delta- Sigma Modulator with Sigal Depedat Feedback Gai K.Diwakar #1 ad V.Vioth Kumar *2 # Departmet of Electroics ad Commuicatio Egieerig * Departmet of Electroics ad Istrumetatio Egieerig Vel Tech Uiversity,Cheai,

More information

Survey of Low Power Techniques for ROMs

Survey of Low Power Techniques for ROMs Survey of Low Power Techiques for ROMs Edwi de Agel Crystal Semicoductor Corporatio P.O Box 17847 Austi, TX 78744 Earl E. Swartzlader, Jr. Departmet of Electrical ad Computer Egieerig Uiversity of Texas

More information

Adaptive MMSE Rake-Equalizer Receiver Design with Channel Estimation for DS-UWB System

Adaptive MMSE Rake-Equalizer Receiver Design with Channel Estimation for DS-UWB System Adaptive MMSE Rake-Equalizer Receiver Desig with Chael Estimatio for DS-UWB System Departmet of Electric Egieerig, Diwa Uiversity No.87-1, Nashi Li, Madou Tow, Taia Couty 7153, Taiwa, R.O.C. cyj@dwu.edu.tw

More information

Spread Spectrum Signal for Digital Communications

Spread Spectrum Signal for Digital Communications Wireless Iformatio Trasmissio System Lab. Spread Spectrum Sigal for Digital Commuicatios Istitute of Commuicatios Egieerig Natioal Su Yat-se Uiversity Spread Spectrum Commuicatios Defiitio: The trasmitted

More information

High-Order CCII-Based Mixed-Mode Universal Filter

High-Order CCII-Based Mixed-Mode Universal Filter High-Order CCII-Based Mixed-Mode Uiversal Filter Che-Nog Lee Departmet of Computer ad Commuicatio Egieerig, Taipei Chegshih Uiversity of Sciece ad Techology, Taipei, Taiwa, R. O. C. Abstract This paper

More information

4. INTERSYMBOL INTERFERENCE

4. INTERSYMBOL INTERFERENCE DATA COMMUNICATIONS 59 4. INTERSYMBOL INTERFERENCE 4.1 OBJECT The effects of restricted badwidth i basebad data trasmissio will be studied. Measuremets relative to itersymbol iterferece, usig the eye patter

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickso Departmet of Electrical, Computer, ad Eergy Egieerig Uiversity of Colorado, Boulder 4.2.2. The Power MOSFET Gate Source Gate legths approachig oe micro p - p Cosists of may small ehacemetmode

More information

A SIMPLE METHOD OF GOAL DIRECTED LOSSY SYNTHESIS AND NETWORK OPTIMIZATION

A SIMPLE METHOD OF GOAL DIRECTED LOSSY SYNTHESIS AND NETWORK OPTIMIZATION 49 A SIMPL MOD OF GOAL DIRCD LOSSY SYNSIS AND NWORK OPIMIZAION K. ájek a),. Michal b), J. Sedláek b), M. Steibauer b) a) Uiversity of Defece, Kouicova 65,63 00 ro,czech Republic, b) ro Uiversity of echology,

More information

Methods to Reduce Arc-Flash Hazards

Methods to Reduce Arc-Flash Hazards Methods to Reduce Arc-Flash Hazards Exercise: Implemetig Istataeous Settigs for a Maiteace Mode Scheme Below is a oe-lie diagram of a substatio with a mai ad two feeders. Because there is virtually o differece

More information

CHAPTER 6 IMPLEMENTATION OF DIGITAL FIR FILTER

CHAPTER 6 IMPLEMENTATION OF DIGITAL FIR FILTER CHAPTER 6 IMPLEMENTATION OF DIGITAL FIR FILTER 6.1 INTRODUCTION The digital FIR filters are commo compoets i may digital sigal processig (DSP) systems. There are various applicatios like high speed/low

More information

A SIMPLE METHOD OF GOAL DIRECTED LOSSY SYNTHESIS AND NETWORK OPTIMIZATION

A SIMPLE METHOD OF GOAL DIRECTED LOSSY SYNTHESIS AND NETWORK OPTIMIZATION A SIMPL MOD OF GOAL DIRCD LOSSY SYNSIS AND NWORK OPIMIZAION Karel ájek a), ratislav Michal, Jiří Sedláček a) Uiversity of Defece, Kouicova 65,63 00 Bro,Czech Republic, Bro Uiversity of echology, Kolejí

More information

Fitting Signals into Given Spectrum Modulation Methods

Fitting Signals into Given Spectrum Modulation Methods S-72.333 Post-graduate Course i Radio Commuicatios 2001-2002 Fittig Sigals ito Give Spectrum Modulatio Methods Lars Maura 41747e Lars.maura@hut.fi Abstract Modulatio is the process where the message iformatio

More information

Capacity Analysis for OFDM Systems with Transceiver I/Q Imbalance

Capacity Analysis for OFDM Systems with Transceiver I/Q Imbalance Capacity Aalysis for OFDM Systems with Trasceiver I/Q Imbalace Stefa Kroe ad Gerhard Fettweis Vodafoe Chair Mobile Commuicatios Systems Techische Uiversität Dresde, 6 Dresde, Germay E-mail: {stefa.kroe,

More information

A Study on Performance Analysis for Error Probability in SWSK Systems

A Study on Performance Analysis for Error Probability in SWSK Systems 556 Tae-Il Jeog, et al.: A STUDY ON PERFORMANCE ANALYSIS FOR ERROR PROBABILITY IN SWSK SYSTEMS A Study o Performace Aalysis for Error Probability i SWSK Systems Tae-Il Jeog, wag-seo Moo, ad Jog-Nam Kim,

More information

Sensors & Transducers 2015 by IFSA Publishing, S. L.

Sensors & Transducers 2015 by IFSA Publishing, S. L. Sesors & Trasducers 215 by IFSA Publishig, S. L. http://www.sesorsportal.com Uiversal Sesors ad Trasducers Iterface for Mobile Devices: Metrological Characteristics * Sergey Y. YURISH ad Javier CAÑETE

More information

Estimation of non Distortion Audio Signal Compression

Estimation of non Distortion Audio Signal Compression Estimatio of o Distortio Audio Sigal Compressio M. BAK, S. PODOXI, V. TSIGOUZ Departmet of Commuicatio Egieerig Ceter for Techological Educatio Holo 5 Golomb street, POB 35 Holo 58, Tel: +97-3-5669 ISRAEL

More information

Adaptive Resource Allocation in Multiuser OFDM Systems

Adaptive Resource Allocation in Multiuser OFDM Systems Adaptive Resource Allocatio i Multiuser OFDM Systems Fial Report Multidimesioal Digital Sigal Processig Malik Meherali Saleh The Uiversity of Texas at Austi malikmsaleh@mail.utexas.edu Sprig 005 Abstract

More information

Analysis and Optimization Design of Snubber Cricuit for Isolated DC-DC Converters in DC Power Grid

Analysis and Optimization Design of Snubber Cricuit for Isolated DC-DC Converters in DC Power Grid Aalysis ad Optimizatio Desig of Subber Cricuit for Isolated DC-DC Coverters i DC Power Grid Koji Orikawa Nagaoka Uiversity of Techology Nagaoka, Japa orikawa@st.agaokaut.ac.jp Ju-ichi Itoh Nagaoka Uiversity

More information

COMPRESSION OF TRANSMULTIPLEXED ACOUSTIC SIGNALS

COMPRESSION OF TRANSMULTIPLEXED ACOUSTIC SIGNALS COMPRESSION OF TRANSMULTIPLEXED ACOUSTIC SIGNALS Mariusz Ziółko, Przemysław Sypka ad Bartosz Ziółko Departmet of Electroics, AGH Uiversity of Sciece ad Techology, al. Mickiewicza 3, 3-59 Kraków, Polad,

More information

Reducing Power Dissipation in Complex Digital Filters by using the Quadratic Residue Number System Λ

Reducing Power Dissipation in Complex Digital Filters by using the Quadratic Residue Number System Λ Reducig Power Dissipatio i Complex Digital Filters by usig the Quadratic Residue Number System Λ Agelo D Amora, Alberto Naarelli, Marco Re ad Gia Carlo Cardarilli Departmet of Electrical Egieerig Uiversity

More information

High-resolution speech signal reconstruction in Wireless Sensor Networks

High-resolution speech signal reconstruction in Wireless Sensor Networks High-resolutio speech sigal recostructio i Wireless Sesor Networks Adria Pazarloglou, Radu Stoleru, Ricardo Gutierrez-Osua Departmet of Computer Sciece, Texas A&M Uiversity {adria, stoleru, rgutier}@cs.tamu.edu

More information

Cascaded Feedforward Sigma-delta Modulator for Wide Bandwidth Applications

Cascaded Feedforward Sigma-delta Modulator for Wide Bandwidth Applications Tamkag Joural of Sciece ad Egieerig, Vol. 4, No., pp. 55-64 () 55 Cascaded Feedforward Sigma-delta Modulator for Wide Badwidth Applicatios Je-Shiu Chiag, Teg-Hug Chag ad Pou-Chu Chou Departmet of Electrical

More information

信號與系統 Signals and Systems

信號與系統 Signals and Systems Sprig 24 信號與系統 Sigals ad Systems Chapter SS- Sigals ad Systems Feg-Li Lia NTU-EE Feb4 Ju4 Figures ad images used i these lecture otes are adopted from Sigals & Systems by Ala V. Oppeheim ad Ala S. Willsky,

More information

Antenna Diversity Techniques for a Single Carrier System with Frequency Domain Equalization An Overview

Antenna Diversity Techniques for a Single Carrier System with Frequency Domain Equalization An Overview Atea Diversity Techiques for a Sigle Carrier System with Frequecy Domai Equalizatio A Overview. Witschig, G. Strasser,. Weigel, A. Spriger Istitute for Commuicatios ad Istitute for Techical Electroics

More information

Cancellation of Multiuser Interference due to Carrier Frequency Offsets in Uplink OFDMA

Cancellation of Multiuser Interference due to Carrier Frequency Offsets in Uplink OFDMA Cacellatio of Multiuser Iterferece due to Carrier Frequecy Offsets i Upli OFDMA S. Maohar, V. Tiiya, D. Sreedhar, ad A. Chocaligam Departmet of ECE, Idia Istitute of Sciece, Bagalore 56001, INDIA Abstract

More information

Radar emitter recognition method based on AdaBoost and decision tree Tang Xiaojing1, a, Chen Weigao1 and Zhu Weigang1 1

Radar emitter recognition method based on AdaBoost and decision tree Tang Xiaojing1, a, Chen Weigao1 and Zhu Weigang1 1 Advaces i Egieerig Research, volume 8 d Iteratioal Coferece o Automatio, Mechaical Cotrol ad Computatioal Egieerig (AMCCE 7) Radar emitter recogitio method based o AdaBoost ad decisio tree Tag Xiaojig,

More information

HDL LIBRARY OF PROCESSING UNITS FOR GENERIC AND DVB-S2 LDPC DECODING

HDL LIBRARY OF PROCESSING UNITS FOR GENERIC AND DVB-S2 LDPC DECODING HDL LIBRARY OF PROCESSING UNITS FOR GENERIC AND DVB-S2 LDPC DECODING Marco Gomes 1,2, Gabriel Falcão 1,2, João Goçalves 1,2, Vitor Silva 1,2, Miguel Falcão 3, Pedro Faia 2 1 Istitute of Telecommuicatios,

More information

CAEN Tools for Discovery

CAEN Tools for Discovery Applicatio Note AN2506 Digital Gamma Neutro discrimiatio with Liquid Scitillators Viareggio 19 November 2012 Itroductio I recet years CAEN has developed a complete family of digitizers that cosists of

More information

信號與系統 Signals and Systems

信號與系統 Signals and Systems Sprig 2 信號與系統 Sigals ad Systems Chapter SS- Sigals ad Systems Feg-Li Lia NTU-EE Feb Ju Figures ad images used i these lecture otes are adopted from Sigals & Systems by Ala V. Oppeheim ad Ala S. Willsky,

More information

MEASUREMENT AND CONTORL OF TOTAL HARMONIC DISTORTION IN FREQUENCY RANGE 0,02-10KHZ.

MEASUREMENT AND CONTORL OF TOTAL HARMONIC DISTORTION IN FREQUENCY RANGE 0,02-10KHZ. ELECTRONICS 00 September, Sozopol, BLGARIA MEASREMENT AND CONTORL OF TOTAL HARMONIC DISTORTION IN FREQENCY RANGE 0,0-0KHZ. Plame Agelov Agelov Faculty for Computer Sciece, Egieerig ad Natural Studies,

More information

E X P E R I M E N T 13

E X P E R I M E N T 13 E X P E R I M E N T 13 Stadig Waves o a Strig Produced by the Physics Staff at Colli College Copyright Colli College Physics Departmet. All Rights Reserved. Uiversity Physics, Exp 13: Stadig Waves o a

More information

Beam Pattern Scanning (BPS) versus Space-Time Block Coding (STBC) and Space-Time Trellis Coding (STTC)

Beam Pattern Scanning (BPS) versus Space-Time Block Coding (STBC) and Space-Time Trellis Coding (STTC) It. J. Commuicatios, Network ad System Scieces, 2009, 6, 469-479 doi:10.4236/ijcs.2009.26051 Published Olie September 2009 (http://www.scirp.org/joural/ijcs/). 469 Beam Patter Scaig (BPS) versus Space-Time

More information

ICM7213. One Second/One Minute Timebase Generator. Features. Description. Ordering Information. Pinout. August 1997

ICM7213. One Second/One Minute Timebase Generator. Features. Description. Ordering Information. Pinout. August 1997 August 997 Features Guarateed V Operatio Very Low Curret Cosumptio (Typ).... µa at V All Outputs TTL Compatible O Chip Oscillator Feedback Resistor Oscillator Requires Oly Exteral compoets: Fixed Capacitor,

More information

Efficient Feedback-Based Scheduling Policies for Chunked Network Codes over Networks with Loss and Delay

Efficient Feedback-Based Scheduling Policies for Chunked Network Codes over Networks with Loss and Delay Efficiet Feedback-Based Schedulig Policies for Chuked Network Codes over Networks with Loss ad Delay Aoosheh Heidarzadeh ad Amir H. Baihashemi Departmet of Systems ad Computer Egieerig, Carleto Uiversity,

More information

OPTIMIZATION OF RNS FIR FILTERS FOR 6-INPUTS LUT BASED FPGAS

OPTIMIZATION OF RNS FIR FILTERS FOR 6-INPUTS LUT BASED FPGAS OPTIMIZATION OF RNS FIR FILTERS FOR 6-INPUTS LUT BASED FPGAS G.C. Cardarilli, M. Re, A. Salsao Uiversity of Rome Tor Vergata Departmet of Electroic Egieerig Via del Politecico 1 / 00133 / Rome / ITAL {marco.re,

More information

Joint Power Allocation and Beamforming for Cooperative Networks

Joint Power Allocation and Beamforming for Cooperative Networks It. J. Commuicatios, etwork ad System Scieces,, 4, 447-45 doi:.436/ijcs..4753 Published Olie July (http://www.scirp.org/joural/ijcs) Joit Power Allocatio ad Beamformig for Cooperative etworks Sodes Maadi,,

More information

Objectives. Some Basic Terms. Analog and Digital Signals. Analog-to-digital conversion. Parameters of ADC process: Related terms

Objectives. Some Basic Terms. Analog and Digital Signals. Analog-to-digital conversion. Parameters of ADC process: Related terms Objectives. A brief review of some basic, related terms 2. Aalog to digital coversio 3. Amplitude resolutio 4. Temporal resolutio 5. Measuremet error Some Basic Terms Error differece betwee a computed

More information

Novel Modeling Techniques for RTL Power Estimation

Novel Modeling Techniques for RTL Power Estimation Novel Modelig Techiques for RTL Power Estimatio Michael Eierma Walter Stechele Istitute for Itegrated Circuits Istitute for Itegrated Circuits Techical Uiversity of Muich Techical Uiversity of Muich Arcisstr.

More information

A SELECTIVE POINTER FORWARDING STRATEGY FOR LOCATION TRACKING IN PERSONAL COMMUNICATION SYSTEMS

A SELECTIVE POINTER FORWARDING STRATEGY FOR LOCATION TRACKING IN PERSONAL COMMUNICATION SYSTEMS A SELETIVE POINTE FOWADING STATEGY FO LOATION TAKING IN PESONAL OUNIATION SYSTES Seo G. hag ad hae Y. Lee Departmet of Idustrial Egieerig, KAIST 373-, Kusug-Dog, Taejo, Korea, 305-70 cylee@heuristic.kaist.ac.kr

More information

A Reduced Complexity Channel Estimation for OFDM Systems with Precoding and Transmit Diversity in Mobile Wireless Channels Hlaing Minn, Dong In Kim an

A Reduced Complexity Channel Estimation for OFDM Systems with Precoding and Transmit Diversity in Mobile Wireless Channels Hlaing Minn, Dong In Kim an A Reduced Complexity Chael Estimatio for OFDM Systems with Precodig ad Trasmit Diversity i Mobile Wireless Chaels Hlaig Mi, Dog I Kim ad Vijay K. Bhargava Departmet of Electrical ad Computer Egieerig,

More information

PERFORMANCE COMPARISON BETWEEN MC-CDMA AND DS-CDMA SYSTEMS FOR AWGN AND RAYLEIGH FADING CHANNEL

PERFORMANCE COMPARISON BETWEEN MC-CDMA AND DS-CDMA SYSTEMS FOR AWGN AND RAYLEIGH FADING CHANNEL ISSN: 2278 99X Volume, Issue 11, November 215 PERFORMANCE COMPARISON BETWEEN MC-CDMA AND DS-CDMA SYSTEMS FOR AWGN AND RAYLEIGH FADING CHANNEL R.Ramalakshmi, S.Karthikeya Abstract- The latest techology

More information

Lossless image compression Using Hashing (using collision resolution) Amritpal Singh 1 and Rachna rajpoot 2

Lossless image compression Using Hashing (using collision resolution) Amritpal Singh 1 and Rachna rajpoot 2 Lossless image compressio Usig Hashig (usig collisio resolutio) Amritpal Sigh 1 ad Racha rajpoot 2 1 M.Tech.* CSE Departmet, 2 Departmet of iformatio techology Guru Kashi UiversityTalwadi Sabo, Bathida

More information

Density Slicing Reference Manual

Density Slicing Reference Manual Desity Slicig Referece Maual Improvisio, Viscout Cetre II, Uiversity of Warwick Sciece Park, Millbur Hill Road, Covetry. CV4 7HS Tel: 0044 (0) 24 7669 2229 Fax: 0044 (0) 24 7669 0091 e-mail: admi@improvisio.com

More information

Analytic comparison of using FFT and wavelet in IEEE a WLAN based OFDM technique

Analytic comparison of using FFT and wavelet in IEEE a WLAN based OFDM technique Aalytic compariso of usig FFT ad wavelet i IEEE 802.11.a WLAN based OFDM techique Habab Habib Alshammary, Abdel-Rahma Al-Qawasmi Abstract Modulatio techiques play a sigificat role i miimizig the effect

More information

ADITIONS TO THE METHOD OF ELECTRON BEAM ENERGY MEASUREMENT USING RESONANT ABSORPTION OF LASER LIGHT IN A MAGNETIC FIELD.

ADITIONS TO THE METHOD OF ELECTRON BEAM ENERGY MEASUREMENT USING RESONANT ABSORPTION OF LASER LIGHT IN A MAGNETIC FIELD. ADITIONS TO THE METHOD OF ELECTRON BEAM ENERGY MEASUREMENT USING RESONANT ABSORPTION OF LASER LIGHT IN A MAGNETIC FIELD. Melikia R.A. (YerPhI Yereva) 1. NEW CONDITION OF RESONANT ABSORPTION Below we ca

More information

The Eye. Objectives: Introduction. PHY 192 The Eye 1

The Eye. Objectives: Introduction. PHY 192 The Eye 1 PHY 92 The Eye The Eye Objectives: Describe the basic process of image formatio by the huma eye ad how it ca be simulated i the laboratory. Kow what measuremets are ecessary to quatitatively diagose ear-sightedess

More information

Application of Improved Genetic Algorithm to Two-side Assembly Line Balancing

Application of Improved Genetic Algorithm to Two-side Assembly Line Balancing 206 3 rd Iteratioal Coferece o Mechaical, Idustrial, ad Maufacturig Egieerig (MIME 206) ISBN: 978--60595-33-7 Applicatio of Improved Geetic Algorithm to Two-side Assembly Lie Balacig Ximi Zhag, Qia Wag,

More information

A study on the efficient compression algorithm of the voice/data integrated multiplexer

A study on the efficient compression algorithm of the voice/data integrated multiplexer A study o the efficiet compressio algorithm of the voice/data itegrated multiplexer Gyou-Yo CHO' ad Dog-Ho CHO' * Dept. of Computer Egieerig. KyiigHee Uiv. Kiheugup Yogiku Kyuggido, KOREA 449-71 PHONE

More information

A Novel Small Signal Power Line Quality Measurement System

A Novel Small Signal Power Line Quality Measurement System IMTC 3 - Istrumetatio ad Measuremet Techology Coferece Vail, CO, USA, - May 3 A ovel Small Sigal Power Lie Quality Measuremet System Paul B. Crilly, Erik Leadro Boaldi, Levy Ely de Lacarda de Oliveira,

More information

CDS 270-2: Lecture 6-3 Optimum Receiver Design for Estimation over Wireless Links

CDS 270-2: Lecture 6-3 Optimum Receiver Design for Estimation over Wireless Links CDS 70-: Lecture 6-3 Otimum Receiver Desig for stimatio over Wireless Lis Goals: Yasami Mostofi May 5, 006 To uderstad imact of wireless commuicatio imairmets o estimatio over wireless To lear o-traditioal

More information

Chapter 2: Sample Questions, Problems and Solutions Bölüm 2: Örnek Sorular, Problemler ve Çözümleri

Chapter 2: Sample Questions, Problems and Solutions Bölüm 2: Örnek Sorular, Problemler ve Çözümleri Chapter : Sample Questios, Problems ad Solutios Bölüm : Örek Sorular, Problemler ve Çözümleri Örek Sorular (Sample Questios): Fourier series What is a badwidth? What is a voice-grade? Nyquist theorem Shao

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Copyright The McGraw-HillCompaies, Ic. Permissio required for reproductio or display. Computig Layers Chapter 3 Digital Logic Structures Problems Algorithms Laguage Istructio Set Architecture Microarchitecture

More information

Acquisition of GPS Software Receiver Using Split-Radix FFT

Acquisition of GPS Software Receiver Using Split-Radix FFT 006 IEEE Coferece o Systems, Ma, ad Cyberetics October -, 006, Taipei, Taiwa Acquisitio of GPS Software Receiver Usig Split-Radix FFT W. H. Li, W. L. Mao, H. W. Tsao, F. R. Chag, ad W. H. Huag Abstract

More information

Multi-Carrier Transmission over Mobile Radio Channels. Jean-Paul M.G. Linnartz Philips Research and TU/e

Multi-Carrier Transmission over Mobile Radio Channels. Jean-Paul M.G. Linnartz Philips Research and TU/e Multi-Carrier Trasmissio over Mobile Radio Chaels Jea-Paul M.G. Liartz Philips Research ad TU/e Outlie Itroductio to OFDM Discussio of receivers for OFDM ad MC-CDMA Itercarrier Iterferece, FFT Leakage

More information

Noncoherent Detection of Satellite-Based AIS Signal with Phase Offset Compensation

Noncoherent Detection of Satellite-Based AIS Signal with Phase Offset Compensation Sesors & Trasducers Vol. 166 Issue 3 March 2014 pp. 128-134 Sesors & Trasducers 2014 by IFSA Publishig S. L. http://www.sesorsportal.com Nocoheret Detectio of Satellite-Based AIS Sigal with Phase Offset

More information

Adaptive Modulation for OFDM Systems J.Faezah 1, and K.Sabira 2

Adaptive Modulation for OFDM Systems J.Faezah 1, and K.Sabira 2 Iteratioal Joural of Commuicatio Networks ad Iformatio Security (IJCNIS) Vol., No., August 9 Adaptive Modulatio for OFDM Systems J.Faezah, ad K.Sabira Cetre for Foudatios Studies ad Extesio Educatio, Multimedia

More information

EECE 301 Signals & Systems Prof. Mark Fowler

EECE 301 Signals & Systems Prof. Mark Fowler EECE 3 Sigals & Systems Prof. Mark Fowler Note Set #6 D-T Systems: DTFT Aalysis of DT Systems Readig Assigmet: Sectios 5.5 & 5.6 of Kame ad Heck / Course Flow Diagram The arrows here show coceptual flow

More information

The Firing Dispersion of Bullet Test Sample Analysis

The Firing Dispersion of Bullet Test Sample Analysis Iteratioal Joural of Materials, Mechaics ad Maufacturig, Vol., No., Ma 5 The Firig Dispersio of Bullet Test Sample Aalsis Youliag Xu, Jubi Zhag, Li Ma, ad Yoghai Sha Udisputed, this approach does reduce

More information

PHY-MAC dialogue with Multi-Packet Reception

PHY-MAC dialogue with Multi-Packet Reception PHY-AC dialogue with ulti-packet Receptio arc Realp 1 ad Aa I. Pérez-Neira 1 CTTC-Cetre Tecològic de Telecomuicacios de Cataluya Edifici Nexus C/Gra Capità, - 0803-Barceloa (Cataluya-Spai) marc.realp@cttc.es

More information

By: Pinank Shah. Date : 03/22/2006

By: Pinank Shah. Date : 03/22/2006 By: Piak Shah Date : 03/22/2006 What is Strai? What is Strai Gauge? Operatio of Strai Gauge Grid Patters Strai Gauge Istallatio Wheatstoe bridge Istrumetatio Amplifier Embedded system ad Strai Gauge Strai

More information

Power Ratio Reduction with OFDM Signals for Broadband Wireless Mobile Communication

Power Ratio Reduction with OFDM Signals for Broadband Wireless Mobile Communication Volume-4, Issue-, February-4, ISSN No.: 5-758 Iteratioal Joural of Egieerig ad Maagemet Research Available at: www.ijemr.et Page Number: 66-7 Power Ratio Reductio with OFDM Sigals for Broadbad Wireless

More information

Power Optimization for Pipeline ADC Via Systematic Automation Design

Power Optimization for Pipeline ADC Via Systematic Automation Design Power Optimizatio for Pipelie AD ia Systematic Automatio Desig Qiao Yag ad Xiaobo Wu Abstract--A efficiet geeral systematic automatio desig methodology is proposed to optimize the power of pipelie Aalog-to-Digital

More information

Comparison of RLS&LMS Algorithms for OFDM Systems

Comparison of RLS&LMS Algorithms for OFDM Systems Iteratioal Joural of Emergig Techology ad Advaced Egieerig Compariso of RLS&LMS Algorithms for OFDM Systems Vidya S.Bhosale 1, V. N. Ghodke 2 1 PG Studet, 2 Assistat Professor, Departmet of E&TC, Pue Uiversity,

More information

Department of Electrical and Computer Engineering, Cornell University. ECE 3150: Microelectronics. Spring Due on April 26, 2018 at 7:00 PM

Department of Electrical and Computer Engineering, Cornell University. ECE 3150: Microelectronics. Spring Due on April 26, 2018 at 7:00 PM Departmet of Electrical ad omputer Egieerig, orell Uiersity EE 350: Microelectroics Sprig 08 Homework 0 Due o April 6, 08 at 7:00 PM Suggested Readigs: a) Lecture otes Importat Notes: ) MAKE SURE THAT

More information

Self-Cancellation of Sample Frequency Offset in OFDM Systems in the Presence of Carrier Frequency Offset

Self-Cancellation of Sample Frequency Offset in OFDM Systems in the Presence of Carrier Frequency Offset Self-Cacellatio of Sample Frequecy Offset i OFDM Systems i the Presece of Carrier Frequecy Offset Zhe GAO, 2, Mary A Igram 2 School of Electroic ad Iformatio Egieerig, Tiaji Uiversity, Chia 372 2 School

More information

Encode Decode Sample Quantize [ ] [ ]

Encode Decode Sample Quantize [ ] [ ] Referece Audio Sigal Processig I Shyh-Kag Jeg Departmet of Electrical Egieerig/ Graduate Istitute of Commuicatio Egieerig M. Bosi ad R. E. Goldberg, Itroductio to Digital Audio Codig ad Stadards, Kluwer

More information

PROJECT #2 GENERIC ROBOT SIMULATOR

PROJECT #2 GENERIC ROBOT SIMULATOR Uiversity of Missouri-Columbia Departmet of Electrical ad Computer Egieerig ECE 7330 Itroductio to Mechatroics ad Robotic Visio Fall, 2010 PROJECT #2 GENERIC ROBOT SIMULATOR Luis Alberto Rivera Estrada

More information

SERCOS ENERGY. SERCOS International e.v.

SERCOS ENERGY. SERCOS International e.v. SERCOS ENERGY SERCOS Iteratioal e.v. Table of Cotets Short Overview of SERCOS Eergy 3 About SERCOS 3 Eergy Efficiecy i Figures 4 Classificatio of SERCOS Eergy 5 SERCOS Eergy Applicatio scearios 6 Short

More information

Cross-Layer Performance of a Distributed Real-Time MAC Protocol Supporting Variable Bit Rate Multiclass Services in WPANs

Cross-Layer Performance of a Distributed Real-Time MAC Protocol Supporting Variable Bit Rate Multiclass Services in WPANs Cross-Layer Performace of a Distributed Real-Time MAC Protocol Supportig Variable Bit Rate Multiclass Services i WPANs David Tug Chog Wog, Jo W. Ma, ad ee Chaig Chua 3 Istitute for Ifocomm Research, Heg

More information

Information-Theoretic Analysis of an Energy Harvesting Communication System

Information-Theoretic Analysis of an Energy Harvesting Communication System Iformatio-Theoretic Aalysis of a Eergy Harvestig Commuicatio System Omur Ozel Seur Ulukus Departmet of Electrical ad Computer Egieerig Uiversity of Marylad, College Park, MD 074 omur@umd.edu ulukus@umd.edu

More information