Behavioral Models of True Random Number Generators

Size: px
Start display at page:

Download "Behavioral Models of True Random Number Generators"

Transcription

1 POSTER 8, PRAGUE MAY Behavioral Models of True Random Number Generators Vlastimil KOTĚ,, Vladimír MOLATA,, Patrik VACULA, Dept. of Microelectronics, Czech Technical University, Technická, 66 7 Praha, Czech Republic STMicroelectronics Design and Application, s.r.o., Pobřežní 6/, 86 Praha, Czech Republic kotevlas@fel.cvut.cz, molatvla@fel.cvut.cz, vaculpat@fel.cvut.cz Abstract. The behavioral models of true random number generators (TRNGs), presented in this paper, are developed to be able to approximate properties of real TRNGs and speed up system simulations on a chip containing this type of generators. The models of the TRNG with direct amplification of noise, the TRNG with ring oscillators, and TRNG based on metastable states are based on commonly used architectures. For their creation, the hardware description language Verilog-A has been used. The random number sequences have been tested by the well-known statistical test suites FIPS and NIST. According to obtained results, the introduced models substitute a function of real TRNGs very well. Using these models instead of a transistor level, the simulation time is shortened by at least ten times. Keywords True random number generator (TRNG), behavioral model, integrated circuit, Verilog-A, statistical test.. Introduction Can we imagine our lives without modern communication systems? Internet and mobile phones are used every day. These modern communication systems connect people and allow us to reduce geographical distances between different places in the world. The security of transfers made by modern communication systems has to be ensured by different session keys for authentication and encryption which are generated on a random number basis. Therefore parts of these systems are random number generators in better cases so-called true random number generators (TRNGs) which generates high-quality random numbers based on a random behavior of physical phenomena. Already published TRNGs extract randomness occurring in superconductive integrated circuits [], in magnetic tunnel junctions [], or during radioactive decay []. However, current communication systems are fabricated in complementary metal oxide semiconductor (CMOS) technologies. Hence, it is important to be also possible to extract randomness in these semiconductor structures. Thermal noise generated by electronic components such as resistors can be used as source of randomness []. The published TRNG [] extracts randomness from jitter appearing in ring oscillators. Metastable states arising in digital CMOS circuits are the basis for the TRNG presented in [6]. Hardware prototype testing is an expensive and longlasting process because the prototype has to be manufactured and each of its modification means the manufacturing of a new version of the prototype. This is reflected in a price of the finished product and on its competitiveness. Therefore the software models of the proposed electronic circuits are used during the design of the whole device in order to optimize these circuits. To create and simulate a precise model is very difficult and time-consuming. Thus physical phenomena are described and modeled only approximately. There should be done a compromise between the time consumption and accuracy of the behavioral model [7]. For evaluating of the random number quality, statistical test suites such as FIPS [8] or NIST [9] are used and require a large number of random numbers. Today, TRNGs are individual blocks of systems on a chip (SoC) usually fabricated in CMOS processes. Simulation of the whole SoC at the most accurate transistor level is almost impossible due to enormous time demands. Therefore individual blocks are substituted by the behavioral models which approximate properties of the designed blocks at the transistor level and significantly speed up simulations of the whole system. This paper introduces behavior models of three TRNG types which are suitable to be implemented in integrated circuits fabricated in CMOS processes.. Behavioral Models True random number generators are circuits composed of analog and digital blocks. For their description, the hardware description language Verilog-A has been used. This high-level programing language is suitable for modeling analog circuits as TRNGs. The behavior of the model is defined by mathematical relations among input and output signals and a structure of the circuit can be described in more levels. After structure definition, a set of equations is created and solved by a simulator. In this case, Cadence Spectre Circuit Simulator has been used.

2 V. KOTĚ et al., BEHAVIORAL MODELS OF TRUE RANDOM NUMBER GENERATORS Noise source Digitizer. Analog noise signal D Q Post-processing. R A LFVCO Text files V ans. Fig.. The architecture of the TRNG with direct amplification of noise... TRNG with Direct Amplification of Noise The model of the TRNG with direct amplification of noise has been created on the base of a structure described in [] and shown in Fig.. In this model, randomness is extracted from thermal noise generated in an undriven resistor R with the resistance of kω. Thermal noise is generated by the Brownian motion of the charge carries in real electrical conductor and arises regardless of the applied voltage. An amplitude distribution of thermal noise can be described by the normal distribution. In other words, thermal noise is considered as a white noise. Thus the power spectral density is ideally constant throughout all frequencies. The root mean square (RMS) value of thermal noise is necessary to know due to the implementation of this noise into the abovementioned model where the real resistor is modeled as the ideal resistor in series with the voltage source of thermal noise. According to [], the RMS value of the thermal noise voltage V RMS,tn is given by V RMS,tn = kt R f () where k is the Boltzmann constant (k =.86 J K ), T is the temperature, and f is the frequency bandwidth. Specifically for this model, the temperature is set to K and the bandwidth f to khz. Thus the RMS value of the noise voltage is.7 µv. The random behavior is modeled by the pseudorandom algorithm which is a part of Verilog-A language. An important parameter of thermal noise is an amplitude distribution which can be described by the normal distribution. Parameters of used Verilog-A functions are set so that the RMS voltage of generated noise matches with the calculated RMS voltage of thermal noise. The generated noise signal has the normal distribution of amplitudes with the mean value equal to. An amplifier is the second module of the noise source. The generated thermal noise applied to the amplifier input is amplified. The gain A of amplifier is set so that the output signal called the analog noise signal can be further processed. If the analog noise signal after amplification would be higher than the supply voltage of V, the amplifier limits this signal as in the real circuit. The analog noise signal V ans is shown in Fig V lfvco V dns Fig.. The modeled analog noise signal V ans depending on time. LFVCO output signal Digitized noise signal Fig.. The LFVCO output signal V lfvco and the digitized noise signal V dns depending on time. The generated analog noise signal is processed in the digitizer which is composed of three components. The first component is the low frequency voltage controlled oscillator (LFVCO) generating a square waveform signal whose the period is controlled by the input signal in this case by the analog noise signal. The duration of the basic period is µs. The second component the high frequency oscillator generates the square waveform signal with the frequency of MHz which is hundred times higher than the basic frequency of LFVCO. The third component D-type flip-flop responds to the falling edge of the clock signal while the input value is captured and appears at the output with a small delay of ns. The output signal of LFVCO is applied to the clock input of the D-type flip-flop and the high frequency square waveform signal is applied to the input D. The falling edge of the LFVCO output signal comes at a random moment.

3 POSTER 8, PRAGUE MAY Noise source Digitizer Output signal from the binary XOR tree RO. RO. RO V xt. RO Binary XOR-tree D Q Post-processing.. RO RO 6 V clk Text files Fig.. The output signal from the binary XOR-tree. Fig.. The architecture of the TRNG with ring oscillators. Thus the flip-flop output value cannot be determined. The output signal marked as the digitized noise signal with the LFVCO output signal are shown in Fig.. In random number sequences, unbalanced ratio of logical values, periodically repeating patterns, or any bias can appear. Therefore in this TRNG model, two basic distortion removal techniques described in [6] are implemented. The first one marked as the XOR corrector reads two consecutive bits and performs the well-known XOR bit operation. The other one known as the Von Neumann corrector also reads two consecutive bits but they are processed according to Tab. when two equal consecutive bits are discarded. All generated sequences are stored into text files in order to evaluate by the statistical test suites. Input bit pair Output bit [,] none [,] [,] none [,] Tab.. The table describing function of the Von Neumann corrector... TRNG with Ring Oscillators The TRNG architecture with the ring oscillators is based on only digital circuits which allows compact and efficient design. This architecture described in [] is shown in Fig.. The ring oscillator is created of an odd number of inverters arranged the rings. The output of each inverters oscillates between the logical zero and the logical one. Thereby an output square waveform signal Ψ j (t) is formed and can be described by Ψ j (t) = Ψ j (t + ξ) () where ξ is period of the output signal which is determined by the number of inverters n and the delay of the inverters τ. Thus the period ξ can be written down as ξ = nτ. () However, in the real world, the output signal does not have the ideal square waveform. The period ξ is not fixed but consists of a constant part ξ c and of a random variable part ξ r whose value occurs in the range from ξ c / to ξ c / with the normal distribution and the mean value in middle of this interval. Thus the period ξ is given by ξ = ξ c + ξ r. () The random variable part ξ r appears around the rising edge or the falling edge of the output signal Ψ j (t) and is marked as the jitter. In this type of the TRNG, randomness is extracted from the uncertainty of exact timing of the output signal edges. The duration of the constant part ξ c is set to ns and the maximal value of the random variable part ξ r to ns. The instantaneous value of the random variable part ξ r is determined by the pseudo-random algorithm which generates values with the normal distribution. The phase drift also arises in the output square waveform signal. In this software model, the phase drift is modeled by a random delay with the uniform distribution which is created by the pseudo-random algorithm generating uniformly distributed numbers. The digitizer is composed of the binary XOR-tree and the D-type flip-flop. In this model, digital XOR gates are connected into the binary XOR-tree which produces an output signal composed of a deterministic part and a nondeterministic part. The width of the deterministic part is given by the number of used ring oscillators. Thus the deterministic part narrows with the higher number of used ring oscillators. Random bits are extracted from the nondeterministic part. The binary XOR-tree output signal V xt depicted in Fig. is sampled by the D-type flip flop which responds to the rising edge of the clock signal V clk. If samples are taken in the deterministic part, a bias arises in random numbers. Therefore the frequency f c of the clock signal V clk

4 V. KOTĚ et al., BEHAVIORAL MODELS OF TRUE RANDOM NUMBER GENERATORS Clock signal V SUP V clk M M V CLK V bi 6 8 I Output signal from D type flip flop V D Node a I Node b 6 8 Fig. 7. The architecture of the TRNG based on metastable states. Fig. 6. The clock signal V clk and the flip-flop output signal V D.. Output signal from bi stable element is set so that samples are taken from non-deterministic part. The clock signal V clk and the output signal V D from this D- type flip-flop are shown in Fig. 6. Also in this model, abovementioned correctors are implemented and the random number sequences are stored into text files. V bi TRNG Based on Metastable States Another TRNG type is based on metastable states arising in digital circuits. In this case, randomness is extracted from thermal and flicker noise appearing in CMOS circuits which are modeled by the pseudo-random algorithm. The metastable state can be observed in a pair of cross coupled inverters I and I which is described in [6] and shown in Fig. 7. The PMOS transistors used as the pre-charging devices M and M are controlled by the clock signal V CLK with the period set to µs. If V CLK is in logical zero, then both nodes a and b are transferred to logical one. The bistable element goes to the metastable state, when V CLK is at a rising edge. The voltage in nodes a and b goes to the metastable voltage V meta. Presence of random noise in both nodes causes that the bistable element goes to the stable state. The result logical levels in stable state depend on the value of a noise difference in nodes a and b during the metastable state. The metastability based TRNG can generate a new random bit during each clock period. In this model, the output signal from the bistable element is generated in three phases. In the first phase, the supply voltage is applied to the nodes a and b. Then, in the second phase, the supply voltage is disconnected and the metastable state arises. Almost immediately after the second..... Fig. 8. The output voltage V bi of the bistable element depending on time. phase, the bistable element goes to the stable state because random noises are present in nodes a and b. In the next period of the clock signal, the first phase repeats. The output voltage V bi of the bistable element is shown in Fig. 8. Details of voltages in nodes a and b during the meta-stable state are shown in Fig. 9. As can be seen, random noises does not have their typical waveform because the new value of modeled noises is calculated only in one time point. This simplification safes valuable computing time. The metastability based TRNG is able to resist disturbances in the supply voltage V SUP which is advantage of this architecture. The supply voltage disturbances operates on both nodes simultaneously and do not create any difference. Thus the output logical levels are not affected. However, the generated random numbers can be affected by a device mismatch between the both inverters which can introduce a bias into random number sequences. In an extreme case, if the perceptible device mismatch is present, the voltages in nodes a and b can move to the same values in each period and the random behavior of device can be lost.

5 POSTER 8, PRAGUE MAY V a Voltage at node a Voltage at node b Using the presented models, sequences containing Mb of random data have been generated both directly and as well as with the correctors. The FIPS test suite did not detect any distortion or repeating patterns in all sequences. The strict NIST statistical tests found any bias in sequences generated by the TRNG model with direct amplification of noise. As can be seen in Tab.., the Von Neumann corrector removed the bias in a large extent. Obtained results of the TRNG models with the ring oscillators and with bistable element in Tab.. show that these models produce high quality random number sequences whose properties are very close to properties of sequences generated by real TRNGs. As mentioned above, simulations at the transistor level are very time-consuming. The very important task for the models is to accelerate the simulation of SoCs containing TRNGs. During performed simulations when using the developed models, durations were observed more than ten times shorter than durations of transistor level simulations. V b.. Conclusions.9 6 Fig. 9. Details of voltage V a in node a and of voltage V b at node b during the metastable state. The output voltage V bi can drive the logic gate directly but contains a region with the metastable voltage which can cause issues during processing. Therefore this signal V bi is sampled by the D-type flip-flop to be able to processed by the above-mentioned correctors. As with the previously mentioned models, all random number sequences are stored.. Achieved Results As already mentioned above, the aim of the proposed models is to approximate the TRNG behavior and to generate random number sequences with the high quality and very similar properties as random number sequences produced by real TRNGs. In general, it is not possible to prove that a number sequence is random. Therefore the random number quality is usually tested by the statistical test suites which are described in the FIPS - standard (FIPS) [8] and in the National Institute of Standards and Technology tests (NIST) [9] and are able to detect any distortion in random number sequences. The NIST test suite is composed of statistical tests and some statistical tests consist of subtests. Therefore results in Tab.. are in form P/F where P is the number of the passed sub-tests and F is the number of failed. This paper presents the behavioral models of TRNGs developed on the base of usually used architectures. The models have been designed in the hardware description language Verilog-A. Use of the developed models instead of TRNGs at transistor level significantly speed up simulations of the system containing these generators. For testing of generated random number sequences, the well-known statistical test suites FIPS and NIST have been used. Obtained results show that the models approximate properties of the real TRNGs very well. Acknowledgements Research described in the paper was supervised by Doc. J. Jakovenko and Prof. M. Husák, FEE CTU in Prague and supported by the Grant Agency of the Czech Technical University in Prague, grant No. SGS7/88/OHK/T/ (Mikro a nanostruktury a soucastky). References [] SUGIURA, T., YAMANASHI, Y., YOSHIKAWA. N. Demonstration of Gbit/s generation of superconductive true random number generator. IEEE Transactions on Applied Superconductivity,, vol., no., p [] OOSAWA, S., KONISHI, T., ONIZAWA, N., HANYU, T. Design of an STT-MTJ based true random number generator using digitally controlled probability-locked loop. In IEEE th International New Circuits and Systems Conference (NEWCAS). Grenoble (France),, p.. [] RÜSCHEN, D., SCHREY, M., FREESE, J., HEISTERKLAUS, I. Generation of True Random Numbers based on Radioactive Decay. In Proceedings of the International Student Scientific Conference Poster /7. Prague (Czech Republic), 7, p.. [] HOLMAN, W. T., CONNELLY, J. A., DOWLATABADI, A. B. An integrated analog/digital random noise source. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 997, vol., no. 6, p. 8. [] YANG, K., FICK, D., HENRY, M. B., LEE, Y., BLAAUW, D., SYLVESTER, D. 6. A Mb/s pj/b fully synthesized truerandom-number generator in 8nm and 6nm CMOS. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). San Francisco (CA, USA),, p. 8 8.

6 6 V. KOTĚ et al., BEHAVIORAL MODELS OF TRUE RANDOM NUMBER GENERATORS TRNG with dir. amp. TRNG with ring osc. TRNG with bistab. elem. NIST test Directly XOR VN Directly XOR VN Directly XOR VN Monobit / / / / / / / / / Frequency / / / / / / / / / Runs / / / / / / / / / Longest runs / / / / / / / / / Binary matrix rank / / / / / / / / / Spectral DFT / / / / / / / / / Non-overlapping template 7/ /6 / 8/ 6/ 8/ 7/ 7/ 7/ Overlapping template / / / / / / / / / Universal statistical / / / / / / / / / Linear complexity / / / / / / / / / Serial / / / / / / / / / Approximate entropy / / / / / / / / / Cumulative sums / / / / / / / / / Random excursions 8/ 8/ 8/ 8/ 8/ 8/ 8/ 8/ 8/ Random excursions var. 8/ 8/ 8/ 8/ 8/ 8/ 8/ 8/ 8/ Tab.. Results of the NIST tests for all presented models which have been generated directly (Directly), using the XOR corrector (XOR), or using the Von Neumann corrector (VN). [6] SRINIVASAN, S., MATHEW, S., ERRAGUNTLA, V., KRISHNA- MURTHY, R. A Gbps.7pJ/bit Process-Voltage-Temperature Variation Tolerant All-Digital True Random Number Generator in nm CMOS. In 9 nd International Conference on VLSI Design. New Delhi (India), 9, p. 6. [7] WANG, Y., WANG, Y., HE, L. Behavioral modeling for operational amplifier in sigma-delta modulators with Verilog-A. In APCCAS 8-8 IEEE Asia Pacific Conference on Circuits and Systems. Macao (China), 8, p [8] Federal Information Processing Standards, National Institute of Standards and Technology.Security Requirements for Cryptographic Modules.. NIST FIPS PUB pages. [Online] Cited Available at: /fips.pdf [9] RUKHIN, A., et al. A Statistical Test Suite for Random and Pseudorandom Number Generators for Cryptographic Applications.. National Institute of Standards and Technology. Rev a. pages. [Online] Cited 8--. Available at: ra.pdf [] JUN, B., KOCHER, P. The Intel Random Number Generator. White Paper Prepared For Intel Corporation, 999. Available from: [] JOHNSON, J. B. Thermal Agitation of Electricity in Conductors. Physical Review, 98, vol., no., p [] SUNAR, B., MARTIN, W. J., STINSON, D. R. A Provably Secure True Random Number Generator with Built-In Tolerance to Active Attacks. IEEE Transactions on Computers, 7, vol. 6, no., p attends the Ph.D. study in the Department of Microelectronics at CTU in Prague where his research interests include structures of semiconductor devices, physical design, electrical circuit theory, and IC design methodology development. Vladimír MOLATA received the B.S. degree in electrical engineering from Czech Technical University in Prague, in 9 and the M.S. degree in electrical engineering from Czech Technical University in Prague, in. He is currently studying Ph.D. program at the Czech Technical University where his research interests include electrical circuit theory, modelling and simulation. He is working at STMicroelectronics as IC Design Senior Engineer of CMOS/BCD analog ICs for power management. Patrik VACULA was born in 979. Master s degree in Electronics and Multimedia Communications Engineering, he completed at TU FEI in Kosice in. Currently he is working at STMicroelectronics as member of Technical Staff IC layout engineer responsible for entire BE IC development flow including power MOS integration. He is a PhD. Student in the Department of Microelectronics at CTU. About Authors... Vlastimil KOTĚ, born in 987, received his Bachelor s degree in electrical engineering from Czech Technical University in Prague in 9, and Master s degree in electrical engineering from CTU in Prague in. Currently, he is working at STMicroelectronics as IC Layout Staff Engineer. He

Trench MOS Having Source with Waffle Patterns

Trench MOS Having Source with Waffle Patterns POSTER 2018, PRAGUE MAY 10 1 Trench MOS Having Source with Waffle Patterns Patrik VACULA 1, 2, Vlastimil KOTĚ 1, 2, Dalibor BARRI 1, 2 1 Dept. of Microelectronics, Czech Technical University, Technická

More information

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit Design of Sub-0-Picoseconds On-Chip Time Measurement Circuit M.A.Abas, G.Russell, D.J.Kinniment Dept. of Electrical and Electronic Eng., University of Newcastle Upon Tyne, UK Abstract The rapid pace of

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter 1 T.M.

More information

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY *Yusuf Jameh Bozorg and Mohammad Jafar Taghizadeh Marvast Department of Electrical Engineering, Mehriz Branch,

More information

Research Article Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings

Research Article Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings Reconfigurable Computing Volume 9, Article ID 567, 8 pages doi:.55/9/567 Research Article Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings Knut Wold and Chik How Tan

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Robust Oscillator for Embedded System without External Crystal

A Robust Oscillator for Embedded System without External Crystal Appl. Math. Inf. Sci. 9, No. 1L, 73-80 (2015) 73 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.12785/amis/091l09 A Robust Oscillator for Embedded System without

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

An ultra-low power CMOS random number generator

An ultra-low power CMOS random number generator Available online at www.sciencedirect.com Solid-State Electronics 52 (2008) 233 238 www.elsevier.com/locate/sse An ultra-low power CMOS random number generator Sheng-hua Zhou, Wancheng Zhang, Nan-Jian

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

High Performance True Random Number Generator in Altera Stratix FPLDs

High Performance True Random Number Generator in Altera Stratix FPLDs High Performance True Random Number Generator in Altera Stratix FPLDs Viktor Fischer 1, Miloš Drutarovský 2, Martin Šimka2, and Nathalie Bochard 1 1 Laboratoire Traitement du Signal et Instrumentation,

More information

True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection

True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection Qianying Tang, Bongjin Kim, Yingjie Lao, Keshab K. Parhi, and Chris H. Kim University of Minnesota, Minneapolis,

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Second-Order Sigma-Delta Modulator in Standard CMOS Technology

Second-Order Sigma-Delta Modulator in Standard CMOS Technology SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 2004, 37-44 Second-Order Sigma-Delta Modulator in Standard CMOS Technology Dragiša Milovanović 1, Milan Savić 1, Miljan Nikolić 1 Abstract:

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

TRUE random number generators (TRNGs) have become

TRUE random number generators (TRNGs) have become 452 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 4, APRIL 2017 An Improved DCM-Based Tunable True Random Number Generator for Xilinx FPGA Anju P. Johnson, Member, IEEE, Rajat

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota Workshop on the Future of Spintronics, June 5, 216 1 Switching Probability of an MTJ Parallel: Low

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Hyungjin Lee, Hyunsun Mo, Wanil Lee, Mingi Jeong, Jaehoon Jeong 2, and Daejeong Kim a) Department of Electronics

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

A High Speed and Low Voltage Dynamic Comparator for ADCs

A High Speed and Low Voltage Dynamic Comparator for ADCs A High Speed and Low Voltage Dynamic Comparator for ADCs M.Balaji 1, G.Karthikeyan 2, R.Baskar 3, R.Jayaprakash 4 1,2,3,4 ECE, Muthayammal College of Engineering Abstract A new dynamic comparator is proposed

More information

CMOS Inverter & Ring Oscillator

CMOS Inverter & Ring Oscillator CMOS Inverter & Ring Oscillator Theory: In this Lab we will implement a CMOS inverter and then use it as a building block for a Ring Oscillator. MOSfets (Metal Oxide Semiconductor Field Effect Transistors)

More information

An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA

An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA Anju P. Johnson Member, IEEE, Rajat Subhra Chakraborty Senior Member, IEEE and Debdeep Mukhopadyay Member, IEEE 1 Abstract True

More information

Testing of Objective Audio Quality Assessment Models on Archive Recordings Artifacts

Testing of Objective Audio Quality Assessment Models on Archive Recordings Artifacts POSTER 25, PRAGUE MAY 4 Testing of Objective Audio Quality Assessment Models on Archive Recordings Artifacts Bc. Martin Zalabák Department of Radioelectronics, Czech Technical University in Prague, Technická

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder

Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Design and Performance Analysis of High Speed Low Power 1 bit Full Adder Gauri Chopra 1, Sweta Snehi 2 PG student [RNA], Dept. of MAE, IGDTUW, New Delhi, India 1 PG Student [VLSI], Dept. of ECE, IGDTUW,

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS

DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS International Journal of Electrical and Electronics Engineering (IJEEE) ISSN 2278-9944 Vol. 2, Issue 2, May 2013, 21-26 IASET DESIGN OF RING OSCILLATOR USING CS-CMOS FOR MIXED SIGNAL SOCS VINOD KUMAR &

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Design of an Energy Efficient 4-2 Compressor

Design of an Energy Efficient 4-2 Compressor IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of an Energy Efficient 4-2 Compressor To cite this article: Manish Kumar and Jonali Nath 2017 IOP Conf. Ser.: Mater. Sci.

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

A 100-dB gain-corrected delta-sigma audio DAC with headphone driver

A 100-dB gain-corrected delta-sigma audio DAC with headphone driver Analog Integr Circ Sig Process (2007) 51:27 31 DOI 10.1007/s10470-007-9033-0 A 100-dB gain-corrected delta-sigma audio DAC with headphone driver Ruopeng Wang Æ Sang-Ho Kim Æ Sang-Hyeon Lee Æ Seung-Bin

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET)

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) Prashanth K V, Monish A G, Pavanjoshi, Madhan Kumar, KavyaS(Assistant professor) Department of Electronics and Communication

More information

Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions

Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions by Yuanzhuo Qu A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology

Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Design of 1.8V, 72MS/s 12 Bit Pipeline ADC in 0.18µm Technology Ravi Kumar 1, Seema Kanathe 2 ¹PG Scholar, Department of Electronics and Communication, Suresh GyanVihar University, Jaipur, India ²Assistant

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz

Analysis and Design of Low Power Ring Oscillators with Frequency ~ khz Analysis and Design of Low Power Ring Oscillators with Frequency ~10-100 khz PRESENTED BY: PIYUSH KESHRI 3 rd year Undergraduate Student Indian Institute Of Technology, Kanpur, India University Of Michigan

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

A Low-Jitter MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements Journal of Information Systems and Telecommunication, Vol. 2, No. 3, July-September 2014 166 A Low-Jitter 20-110MHz DLL Based on a Simple PD and Common-Mode Voltage Level Corrected Differential Delay Elements

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

CMOS Analog VLSI Design Prof. A N Chandorkar Department of Electrical Engineering Indian Institute of Technology, Bombay. Lecture - 24 Noise

CMOS Analog VLSI Design Prof. A N Chandorkar Department of Electrical Engineering Indian Institute of Technology, Bombay. Lecture - 24 Noise CMOS Analog VLSI Design Prof. A N Chandorkar Department of Electrical Engineering Indian Institute of Technology, Bombay Lecture - 24 Noise Various kinds of noise and is this morning and we discussed that

More information

Exploring of Third-Order Cascaded Multi-bit Delta- Sigma Modulator with Interstage Feedback Paths

Exploring of Third-Order Cascaded Multi-bit Delta- Sigma Modulator with Interstage Feedback Paths 92 ECTI TRANSACTIONS ON ELECTRICAL ENG., ELECTRONICS, AND COMMUNICATIONS VOL.9, NO.1 February 2011 Exploring of Third-Order Cascaded Multi-bit Delta- Sigma Modulator with Interstage Feedback Paths Sarayut

More information

Design and implementation of LDPC decoder using time domain-ams processing

Design and implementation of LDPC decoder using time domain-ams processing 2015; 1(7): 271-276 ISSN Print: 2394-7500 ISSN Online: 2394-5869 Impact Factor: 5.2 IJAR 2015; 1(7): 271-276 www.allresearchjournal.com Received: 31-04-2015 Accepted: 01-06-2015 Shirisha S M Tech VLSI

More information

Layout Design of LC VCO with Current Mirror Using 0.18 µm Technology

Layout Design of LC VCO with Current Mirror Using 0.18 µm Technology Wireless Engineering and Technology, 2011, 2, 102106 doi:10.4236/wet.2011.22014 Published Online April 2011 (http://www.scirp.org/journal/wet) 99 Layout Design of LC VCO with Current Mirror Using 0.18

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

Design of High Performance PLL using Process,Temperature Compensated VCO

Design of High Performance PLL using Process,Temperature Compensated VCO Design of High Performance PLL using Process,Temperature Compensated O K.A.Jyotsna Asst.professor CVR College of Engineering Hyderabad D.Anitha Asst.professor GITAM University Hyderabad ABSTRACT In this

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX Prafull Shripal Kumbhar Electronics & Telecommunication Department Dr. J. J. Magdum College of Engineering, Jaysingpur

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information