IT IS widely expected that CMOS image sensors would

Size: px
Start display at page:

Download "IT IS widely expected that CMOS image sensors would"

Transcription

1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 1, JANUARY A DPS Array With Programmable Resolution and Reconfigurable Conversion Time Amine Bermak, Senior Member, IEEE, and Yat-Fong Yung Abstract A CMOS digital pixel sensor (DPS) with programmable resolution and reconfigurable conversion time is described. The chip features a unique architecture based on the pulse width modulation (PWM) technique and operates with either an 8-b or 4-b accuracy. The 8-b conversion mode is used for high-precision imaging while the 4-b conversion mode provides a shorter conversion time and a two times increase in spatial resolution. Two quantization schemes are studied, namely, the uniform and the nonuniform time-domain quantizers, which are referred to as UQ and NUQ, respectively. It is shown that the latter scheme not only permits to linearize the nonlinear response of the PWM sensor, but also allows to significantly speed up the conversion time, particularly for wide dynamic range and low coding resolutions. A prototype of 32 32/64 32 pixels has been fabricated using 1-poly, 5-metal CMOS m n-well standard process. Power dissipation is 10 mw at DD =33 V, dynamic range is 90 db, while dark current was measured at 1 pa. The reconfiguration features of the chip have been verified experimentally. Index Terms CMOS imager, digital pixel sensor (DPS), programmable resolution. I. INTRODUCTION IT IS widely expected that CMOS image sensors would allow the realization of next-generation on-chip visual systems, ultimately associating image capture devices with intelligent processing. The aggressive device scaling found in today s CMOS technologies has opened the door to even more on-chip signal processing, allowing CMOS imagers to provide Camera-on-a-chip solutions [1]. New pixel structures, incorporating even digital conversion at the pixel level, have emerged, thus leading to the digital pixel sensor (DPS) concept. A particularly interesting processing which was widely reported in the literature is the multiresolution image acquisition [2], [3]. Traditionally, multiresolution is implemented by decreasing the spatial resolution via averaging the photo-signals within a cluster of neighboring pixels to reduce the resolution to regions of interest. Multiresolution is a very important processing stage for a large number of applications such as pattern recognition, target tracking, image compression, and stereo range finding. Acquiring images at different resolutions can increase speed and processing efficiency and can reduce power and storage requirements [2]. However, it Manuscript received March 3, 2005; revised July 8, This work was supported by the Research Grant Council of Hong Kong SAR, China, under Project HKUST6148/03E. A. Bermak is with the Electrical and Electronic Engineering Department, Hong Kong University of Science and Technology, Kowloon, Hong Kong ( bermak@ieee.org). Y.-F. Yung is with PROMAX Technology, Ltd., Kowloon Tong, Hong Kong. Digital Object Identifier /TVLSI is surprising to note that the multiresolution concept has been assigned by default to the spatial resolution, and, to the best of our knowledge, no prior research work has addressed the multiresolution concept from a coding resolution point of view. Typically, CMOS imagers produce a fixed 8 12 b of brightness information using array-level [4], column-level [5], or pixel-level analog-to-digital converter (ADC) [6], regardless of the application requirements. However, it should be noticed that different applications are very likely to require different coding and spatial resolutions as well as frame rates. In addition, natural light levels can vary by over eight orders of magnitude [7], and producing wide-dynamic-range CMOS imagers is quite challenging. One interesting way to improve the dynamic range of CMOS imagers is to employ time-based conversion using self-resetting architectures based on either a pulse-frequency modulation (PFM) scheme [8] [10] or pulse-width modulation (PWM) scheme [11], [12]. The self-resetting scheme improves the dynamic range by recycling the well such that higher photocurrents are detected. The output takes the form of a series of spikes, resulting in the so-called spiking pixel [9], which is of particular interest when mimicking the processes of biological vision [10]. This method presents several issues when dealing with high-resolution pixel arrays mainly related to the need for a complex bus-arbitration system, termed address event representation (AER), and the temporal jitter due to the collision problem in the output bus which affects the SNR. In addition, the synchronous self-resetting scheme suffers from higher dynamic power consumption as the pixel is constantly allowed to fire whenever it reaches a threshold voltage. Therefore, the power consumption of a large array of free-running pixels can be very significant. In this paper, we propose to implement a CMOS DPS with programmable coding (4/8-b) and spatial resolution using the PWM technique. A single digital pulse is used to sense the photocurrent, which is then encoded with either 8- or 4-b accuracy. This is greatly beneficial, particularly for exploiting the CMOS imager for various problems with different precision requirements. The 8-b conversion mode is used for high-precision imaging while the 4-b conversion mode provides a reduced conversion time and a two times increase in spatial resolution. This reconfiguration concept makes the imager a general-purpose camera-on-chip which can fulfill either high-frame-rate requirement (motion detection applications) or higher accuracy image capture (still camera applications). As the on-pixel ADC is based on the time-encoding concept, a number of additional benefits are featured in our design, such as wide dynamic range (90 db) and low power consumption, as compared to the spiking pixel architecture. The remainder of the paper is organized as /$ IEEE

2 16 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 1, JANUARY 2006 Fig. 1. Pixel block diagram. Timing diagram. SI, V, Out, and AR are the start integration, the photodiode node voltage, the comparator output, and the asynchronous reset, respectively. follows. Section II describes the pixel circuit and its operating principle and timing. Section III describes the chip architecture and the read-out circuitry. The characterization and experimental results as well as sample images obtained at different configurations are described in Section IV. Section V concludes this study. II. PIXEL DESCRIPTION AND ANALYSIS A. Principle of Operation Fig. 1 illustrates the principle of a PWM DPS. Each pixel, as shown in Fig. 1, is composed of a photosensitive device (reverse-biased photodiode ) with its internal capacitance, a reset transistor, a comparator, and a feedback circuit. In contrast to conventional pixels, our architecture uses a start integration signal (SI) which enables the start of the integration phase. The SI pulse turns on transistor M12, hence, switching off the reset transistor. The light falling onto the photodiode discharges, resulting in a decreasing voltage across the photodiode node. Once the voltage reaches a reference voltage, the output of the comparator switches. This will turn on transistor M13, allowing the pixel to asynchronously self-reset. This asynchronous self-reset is achieved through the reset circuit consisting of transistors, and. The pixels start to integrate at the same time but are recharged asynchronously, so a large recharge peak current encountered in a synchronous reset is avoided in our proposed scheme. The discharge time of the photodiode from an initial reset voltage to the reference voltage is represented by the active low pulse shown in the bottom figure of Fig. 1. The width of the active low pulse can be expressed by (1) where represents the discharge time of the capacitor from to and is the photocurrent. The capacitance can be expressed as, where, and are the photodiode capacitance, the gate capacitance of, and the diffusion capacitance of, respectively. The total capacitance is mainly dominated by as the photodiode occupies an area of about 250 m, which is chosen in order to keep the fill factor to a reasonable level. The obtained pulse width is inversely proportional to the photocurrent, as described by (1). This relationship between the photocurrent and conversion time, as illustrated in Fig. 2, results in a nonuniform pixel response of the PWM sensor. Fig. 1 shows the corresponding waveforms obtained from a PWM pixel. represents the voltage of the photodiode node for two different illuminations ( and corresponding to high and low illuminations, respectively). Out represents the output of the comparator while is the reset signal generated asynchronously from each pixel, which is responsible for self-resetting the sensor. and are examples of the pulse width for the two different levels of illumination. The interesting fact about this PWM coding scheme is that the illumination received by each pixel is coded using a single pulse. This represents a major advantage as switching activity is reduced to only a single transition in each frame for each pixel, thus allowing for lower power consumption and reduced switching noise when compared to the spiking pixel. In order to convert the PWM signal into a digital code, the Out pulse, which marks the end of the conversion, is used to trigger the writing of a time stamp from the global data bus into the pixel-level memory. This time stamp is generated using a global timer circuit, which, in fact, provides the quantization boundaries used in our time-to-digital conversion assuming a dynamic range.two quantization approaches will be illustrated in this paper. The first approach, which is referred to as uniform quantization (UQ), relies on uniformly sampling the time domain from to,

3 BERMAK AND YUNG: DPS ARRAY WITH PROGRAMMABLE RESOLUTION AND RECONFIGURABLE CONVERSION TIME 17 Equation (3) suggests that, in the UQ scheme and for a fixed, it is reasonable to assume that the conversion time is constant and independent of the dynamic range (i.e., ) as long as. It is clear from (3) and Fig. 2 that the saving of the UQ scheme in terms of conversion time is less than 50%, even when using 1- instead of 8-b precision. Let s now examine the conversion time for the NUQ case. The latter can be written as (4) where and are the current quantization step and the number of bits, respectively. Assuming that the PWM sensor is being operated in a wide-dynamic-range environment using a limited number of bits, it is reasonable to assume that. In contrast to the UQ scheme, (4) suggests that, in the NUQ scheme, greater savings in terms of conversion time is obtained when using lower precision, particularly when the sensor is operated in a wide-dynamic-range environment and using a limited number of bits. In order to compare the conversion time of the two conversion schemes, we can express the NUQ-to-UQ conversion time ratio by Fig. 2. Uniform time-domain quantizer (UQ) and nonuniform time-domain quantizer (NUQ) schemes. as shown in Fig. 2, while, in a second approach, the quantization times are chosen such that the resolved photocurrent is uniformly sampled. This results in a nonuniform time-domain quantization (NUQ), as shown in Fig. 2. In this NUQ scheme, the nonlinear pixel response described by (1) is overcome using a nonuniform time-domain quantizer. The latter can be implemented using a digital timing circuit providing the nonuniform quantization levels. B. Conversion Time Analysis For both UQ and NUQ, the digital code is updated at each quantization level obtained in the time domain. The conversion time is therefore defined by the time required to reach the last quantization level. At this point in time, all digital codes have been allocated, and the frame cycle will start again by triggering the start integration signal SI [Fig. 1]. Fig. 2 shows the distribution of the quantization levels for both UQ and NUQ using both 1- and 2-b precisions. The conversion time of the UQ, as shown in Fig. 2, can be expressed as (2) Equation (5) can be rewritten as For and,wehave suggesting that is always lower than 1. As a consequence, the conversion time of a NUQ is always shorter than that of a UQ. In addition, (6) suggests that the NUQ scheme achieves even shorter conversion time when, which is satisfied when The condition illustrated by (8) is well satisfied for higher dynamic range and lower coding accuracy (small ). This result is confirmed by the simulation results of Fig. 3, which shows that the effectiveness of the NUQ scheme in reducing the conversion time is more evident for higher dynamic range (higher values of ) combined with lower coding accuracy (small values of ). Fig. 3 shows a plot of the NUQ and UQ conversion times for, and, respectively. (5) (6) (7) (8), (2) can be approxi- Assuming that mated as (3) III. VLSI ARCHITECTURE A. Pixel Architecture From the previous discussion, we concluded that reducing the precision is very effective in speeding up the conversion process

4 18 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 1, JANUARY 2006 Fig. 3. NUQ-to-UQ conversion time ratio in log scale as function of the number of bits (n = 1...8)and x = I =I represented in log scale. NUQ and UQ conversion time as function of the number of bits for x =10 ; 10, and 10, respectively (two, four, and six decades of dynamic range). Fig. 4. Schematic and layout of the 4/8-b reconfigurable pixel. The pixel occupies an area of 50 m2 50 m and a fill-factor of 20%. using the NUQ scheme. However, using lower precision can result in inefficient exploitation of the hardware resources, as only a fraction of the on-pixel memory will be used. In order to overcome this problem, our approach relies on a 2 4-b reconfigurable DPS, as shown in Fig. 4. Each pixel includes two photodiodes, two comparators, a select mode transistor,two reset circuits, and an 8-b memory, which allows the data to be randomly and repeatedly accessed. The proposed image sensor can be configured in two modes. Mode 1: 8-b conversion In this mode, the two photodiodes are combined as a single photodetector by switching on. The second comparator, COMP2, is disabled to reduce power consumption. In this scheme, the pixel will be configured as a single 8-b pixel. Mode 2: 4-b conversion In this mode, is switched off and COMP2 is enabled, such that there are two photodiodes and two comparators operating in parallel in each pixel. The pixel is therefore configured as two spatially independent pixels operating in parallel and each uses half of the 8-b memory. In this mode, the horizontal resolution of the array is doubled while keeping a high frame rate. This configuration allows to effectively utilize the hard-

5 BERMAK AND YUNG: DPS ARRAY WITH PROGRAMMABLE RESOLUTION AND RECONFIGURABLE CONVERSION TIME 19 Fig. 5. Architecture and layout of the reconfigurable spatial/coding resolution CMOS imager. The chip occupies an area of 2.7 mm mm. ware resources and improve the conversion speed particularly when using the NUQ scheme. It is important to note that, in both modes 1 and 2, the values of the photodiode node capacitance (and hence ) as well as the photocurrent are not the same. As an approximation, we can assume that both the photocurrent and the capacitance values are doubled when moving from 4- to 8-b precision, mainly because the capacitances are dominated by the large photodetector area of our DPS (2 250 m ). This will result in a constant pulse width, and, therefore, our theoretical analysis of the conversion time still holds. Fig. 4 shows the layout of the reconfigurable pixel. The floorplan is optimized in order to increase the spatial resolution of the imager in either column or row directions. It should be noticed that the same concept can be used to increase the spatial resolution in both column and row directions, even though the layout will be much more complex as this will require a fourpixel layout. Work is underway in order to improve the floorplanning and to exploit this multiresolution concept row- and column-wise. The reconfigurable DPS occupies an area of 50 m 50 m, with a fill-factor of 20%. B. Imager Architecture and Layout The architecture of the 4/8-b programmable resolution CMOS image sensor is shown in Fig. 5. It includes a reconfigurable 32 32/64 32 pixel array, and a control circuit which implements the NUQ scheme. Column and row decoders are used for scanning out the memory data through a sensing amplifier. Data are generated by the control circuit and distributed to all of the pixels through the data bus during the conversion phase. Global signals, such as start integration (SI) and 4/8-b mode selection signal, are also transmitted to the pixel array through global bit lines. During the readout phase, pixel contents are scanned out using on-chip row and column counters as well as column and row decoders implemented within the pixel pitch. Sensing amplifiers placed at the periphery of the imager are used to increase the read-out speed. The image sensor was implemented in m CMOS technology. Fig. 5 shows the microphotograph of the chip and illustrates the floorplan of the design. The chip occupies an area of 2.7 mm 2.3 mm with more than 85% of the chip area dedicated to the pixel array. The control circuit, including the NUQ, occupies only a very small part of the chip (140 m 450 m). C. NUQ Circuit Fig. 6 shows the block diagram and the corresponding layout of the control circuit used in our CMOS imager. The blanking circuit is used in order to set the lower bound of the dynamic range (i.e., ) by disabling the clock initially in order to disable the counting for. The upper bound of the dynamic range is set using the clock frequency of the primary clock. A lower clock frequency permits to extend the upper range of the dynamic (i.e., ) but at the expense of the conversion resolution. A multiplexer is used in order to switch between both NUQ and UQ modes. In the UQ mode, uniform quantization levels are obtained using a uniform clock signal, which constitutes the clock input for the 8-b Gray counter. The same counter can be triggered by a second clock referred to as used to obtain the NUQ levels described in Fig. 2. The uniform clock signal drives a frequency divider circuit, which was constructed from a 16-b counter, a comparator, and a lookup table (LUT). The 16-b counter increments until the count is equal to the LUT divisor value, when it will reset, and generates a clock pulse for the Gray counter. The output from the Gray counter is fed

6 20 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 1, JANUARY 2006 Fig. 6. Circuit diagram and layout of the control circuit realizing the NUQ scheme. back to the frequency divider, as an address input to the LUT. The values necessary to obtain the NUQ levels are obtained analytically [see (1) and Fig. 2] and stored into the LUT. The dynamic range of the sensor is set by externally tuning the frequency of the uniform clock signal and the blanking time. The data bus signals are buffered and distributed to the pixel array. Before they are routed to the pixel array, the data signals undergo a signal multiplexing circuit which allows to configure the data bus as a single 8-b data bus or two parallel 4-b buses, depending on the mode signal. Gray code is used in order to reduce switching activity and hence to lower power consumption. IV. EXPERIMENTAL RESULTS In order to test the different building blocks of the imager, a modular test strategy was adopted. Test structures were added in order to test separately each block within the DPS. Each test structure permits to separately test the signal path at a given stage. An electro-optical experimental setup was developed in order to characterize the sensor array. The electrical part consists of a PCB on which the device under test (DUT) is mounted. Control signals required for the DUT are provided through the National Instrument Data Acquisition board. The 8-b digital output of the DUT is captured and used to display the grabbed frame on the PC. A single clock signal is used to generate internally all of the timing control required for the chip, and hence no control circuit is required and the chip is fully operational without extra hardware. The optical part of the setup consists of a light source and an integrating sphere, which are used to provide uniform illumination to the sensor array. First, the functionality and the sensor response were tested by placing the sensor Fig. 7. Pulse width as function of illumination for 4- and 8-b accuracy. The linear data fitting is based on minimizing the mean square error function. very close to the integrating sphere, and the light intensity provided by the light source was measured. The experiment was repeated for different light intensities. Fig. 7 shows the active low pulse-width values for almost five decades of illuminations and for both 4- and 8-b accuracy. The relationship is confirmed through the linear response in the log log plot for both modes of operation. The lower limit is theoretically set by the dark current measured at 1 pa. The maximum available intensity level used in our experimental setup did not bring the sensor to saturation and more than 90 db operating range was still achievable. Fig. 8 (top) reports the asynchronous self-reset (AR) signal measured experimentally. The active low signal corresponds to the PWM signal.

7 BERMAK AND YUNG: DPS ARRAY WITH PROGRAMMABLE RESOLUTION AND RECONFIGURABLE CONVERSION TIME 21 Fig. 8. Top: PWM signal for 10 lux illumination. Bottom: LSB of the data bus in the NUQ scheme. TABLE I SUMMARY OF THE CHIP FEATURES Fig. 9. Spectral response of the sensor. Fig. 8 (bottom) shows the data bit in the NUQ scheme. The figure illustrates the successful operation of the nonuniform clocking of the Gray counter needed for the NUQ scheme. Fig. 9 shows the measured spectral response of the sensor. For this measurement, a monochrometer with a calibrated light source was employed and a sweep of 25 nm was applied. The obtained response confirm the expected visible range. Using a light source and an integrating sphere, the fixed pattern noise (FPN) was analyzed for both accuracies. The values obtained for the flat field images were summed and averaged for the two configurations (4- and 8-b). The mismatch between pixels was evaluated for a fixed mv and was around 0.8% for both configurations. The power dissipation was observed to be Fig. 10. Sample images from the reconfigurable CMOS imager. The top row of images correspond to images acquired at 8-b accuracy and spatial resolution. The figures shown in the two bottom rows were captured with 4-b accuracy and spatial resolution. 10 mw at 3.3 V. It should be noticed that the chip was successfully tested at a power supply of 2.5 V. Table I summarizes the performance of the imager. The figures related to power, FPN,

8 22 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 1, JANUARY 2006 and dark current are taken as the worse case scenario for both configurations. Fig. 10 reports some sample images acquired for both modes of operation. V. CONCLUSION A reconfigurable spatial and coding resolution CMOS imager based on PWM and asynchronous self-reset schemes was presented. The proposed architecture is based on an SI signal instead of a global reset signal, avoiding large peak currents, using a three-transistor reset circuit. The CMOS imager can operate with either 8- or 4-b conversion modes using two possible quantization schemes, namely, the UQ and NUQ. The two schemes were thoroughly analyzed by comparing their respective conversion times for different dynamic range and precision requirements. It was found that the NUQ scheme not only linearizes the pixel response but also permits to shorten the conversion time as compared to its UQ counterpart. It was also demonstrated that the effectiveness of the NUQ in reducing the conversion time is more evident for higher dynamic range combined with lower coding accuracy. However, using lower precision can result in inefficient exploitation of the hardware resources, as only a fraction of the on-pixel memory of the DPS is used. In order to overcome this problem, we proposed a unique DPS architecture in which both coding and spatial resolutions are configured. The image sensor, including a reconfigurable 32 32/64 32 pixel array, was implemented in m CMOS technology. Each pixel occupies an area of 50 m 50 m and presents a fill-factor of 20%. REFERENCES [1] E. Fossum, CMOS image sensors: Electronic camera-on-chip, IEEE Trans. Electron Devices, vol. 44, no. 10, pp , Oct [2] S. E. Kemeny, R. Panicacci, B. Pain, L. Matthies, and E. Fossum, Multiresolution image sensor, IEEE Trans. Circuits Syst. Video Technol., vol. 7, no. 4, pp , Aug [3] Z. Zhou, B. Pain, and E. Fossum, Frame-transfer CMOS active pixel sensor with pixel binning, IEEE Trans. Electron Devices, vol. 44, no. 10, pp , Oct [4] S. Smith, J. Hurwitz, M. Torrie, D. Baxter, A. Holmes, M. Panaghiston, R. Henderson, A. Murray, S. Anderson, and P. Denyer, A single-chip pixel CMOS NTSC video camera, in Proc. ISSCC, San Francisco, CA, 1998, pp [5] S. Decker, R. McGrath, K. Brehmer, and C. Sodini, A CMOS imaging array with wide dynamic range pixels and column-parallel digital output, in Proc. ISSCC, San Francisco, CA, Feb. 1998, pp [6] S. Kleinfelder, S. H. Lim, X. Q. Liu, and E. Gamal, A frames/s 0.18 m CMOS digital pixel sensor with pixel-level memory, in Proc. ISSCC, San Francisco, CA, Feb. 2001, pp [7] G. C. Holst, CCD Arrays, Cameras and Displays. Bellingham, WA: SPIE Opt. Eng. Press, [8] A. Bermak, A. Bouzerdoum, and K. Eshraghian, A vision sensor with on-pixel ADC and in-built light adaptation mechanism, Microelectron. J., vol. 33, no. 12, pp , [9] J. Doge, G. Schonfelder, G. T. Streil, and A. Konig, An HDR CMOS image sensor with spiking pixels, pixel-level ADC, and linear characteristics, IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 49, no. 2, pp , Feb [10] E. Culurciello, R. Etienne-Cummings, and K. A. Boahen, A biomorphic digital image sensor, IEEE J. Solid-State Circuits, vol. 38, no. 2, pp , Feb [11] X. Qi, X. Guo, and J. G. Harris, A Time-to-first spike CMOS imager, in Proc. ISCAS, vol. 4, 2004, pp [12] A. Kitchen, A. Bermak, and A. Bouzerdoum, PWM digital pixel sensor based on asynchronous self-resetting scheme, IEEE Electron Device Lett., vol. 25, no. 7, pp , Jul Amine Bermak (M 99 SM 04) received the M.Eng. and Ph.D. degrees in electronic engineering from Paul Sabatier University, Toulouse, France, in 1994 and 1998, respectively. While completing his doctoral work, he was part of the Microsystems and Microstructures Research Group, French National Research Center LAAS-CNRS, where he developed a three-dimensional VLSI chip for artificial neural network classification and detection applications. He joined the Advanced Computer Architecture Research Group, York University, York, U.K., where he was a Postdoctoral Researcher working on VLSI implementation of a CMM neural network for vision applications in a project funded by British Aerospace. In November 1998, he joined Edith Cowan University, Perth, Australia, first as a Research Fellow, working on smart vision sensors, and then as a Lecturer and a Senior Lecturer with the School of Engineering and Mathematics. He is currently an Assistant Professor with the Electrical and Electronic Engineering Department, Hong Kong University of Science and Technology (HKUST), Kowloon, where he is also serving as the Associate Director of the Computer Engineering Programme. His research interests are related to VLSI circuits and systems for signal, image processing, sensors, and microsystems applications. He has published extensively on the above topics in various journals, book chapters, and refereed international conferences. Dr. Bermak was the recipient of the Bechtel Foundation Engineering Teaching Excellence Award and the IEEE Chester Sall Award in Yat-Fong Yung received the B.S. and M.Phil. degrees in electronic engineering from Hong Kong University of Science and Technology, Kowloon, in 2002 and 2004, respectively. He is currently an IC Design Engineer with PROMAX Technology, Ltd., Hong Kong. His interests are in mixed-ic design for CMOS imagers and vision sensors.

A CMOS Imager with PFM/PWM Based Analogto-digital

A CMOS Imager with PFM/PWM Based Analogto-digital Edith Cowan University Research Online ECU Publications Pre. 2011 2002 A CMOS Imager with PFM/PWM Based Analogto-digital Converter Amine Bermak Edith Cowan University 10.1109/ISCAS.2002.1010386 This conference

More information

A vision sensor with on-pixel ADC and in-built light adaptation mechanism

A vision sensor with on-pixel ADC and in-built light adaptation mechanism Microelectronics Journal 33 (2002) 1091 1096 www.elsevier.com/locate/mejo A vision sensor with on-pixel ADC and in-built light adaptation mechanism Amine Bermak*, Abdessellam Bouzerdoum, Kamran Eshraghian

More information

A digital pixel sensor array with programmable dynamic range

A digital pixel sensor array with programmable dynamic range University of Wollongong Research Online Faculty of Informatics - Papers (Archive) Faculty of Engineering and Information Sciences 2005 A digital pixel sensor array with programmable dynamic range A. Kitchen

More information

THE LAST decade has witnessed significant technological

THE LAST decade has witnessed significant technological 1 Arbitrated Time-to-First Spike CMOS Image Sensor With On-Chip Histogram Equalization Chen Shoushun, Student Member, IEEE, and Amine Bermak, Senior Member, IEEE Abstract This paper presents a time-to-first

More information

THE PAST decade has seen the emergence of CMOS image

THE PAST decade has seen the emergence of CMOS image 1 Robust Intermediate Read-Out for Deep Submicron Technology CMOS Image Sensors Chen Shoushun, Student Member, IEEE, Farid Boussaid, Senior Member, IEEE, and Amine Bermak, Senior Member, IEEE Abstract

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

VLSI DESIGN OF A HIGH-SPEED CMOS IMAGE SENSOR WITH IN-SITU 2D PROGRAMMABLE PROCESSING

VLSI DESIGN OF A HIGH-SPEED CMOS IMAGE SENSOR WITH IN-SITU 2D PROGRAMMABLE PROCESSING VLSI DESIGN OF A HIGH-SED CMOS IMAGE SENSOR WITH IN-SITU 2D PROGRAMMABLE PROCESSING J.Dubois, D.Ginhac and M.Paindavoine Laboratoire Le2i - UMR CNRS 5158, Universite de Bourgogne Aile des Sciences de l

More information

Low Power Sensors for Urban Water System Applications

Low Power Sensors for Urban Water System Applications Hong Kong University of Science and Technology Electronic and Computer Engineering Department Low Power Sensors for Urban Water System Applications Prof. Amine Bermak Workshop on Smart Urban Water Systems

More information

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor ELEN6350 High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor Summary: The use of image sensors presents several limitations for visible light spectrometers. Both CCD and CMOS one dimensional imagers

More information

EE 392B: Course Introduction

EE 392B: Course Introduction EE 392B Course Introduction About EE392B Goals Topics Schedule Prerequisites Course Overview Digital Imaging System Image Sensor Architectures Nonidealities and Performance Measures Color Imaging Recent

More information

IN RECENT years, we have often seen three-dimensional

IN RECENT years, we have often seen three-dimensional 622 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Design and Implementation of Real-Time 3-D Image Sensor With 640 480 Pixel Resolution Yusuke Oike, Student Member, IEEE, Makoto Ikeda,

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

Demonstration of a Frequency-Demodulation CMOS Image Sensor

Demonstration of a Frequency-Demodulation CMOS Image Sensor Demonstration of a Frequency-Demodulation CMOS Image Sensor Koji Yamamoto, Keiichiro Kagawa, Jun Ohta, Masahiro Nunoshita Graduate School of Materials Science, Nara Institute of Science and Technology

More information

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request Alexandre Guilvard1, Josep Segura1, Pierre Magnan2, Philippe Martin-Gonthier2 1STMicroelectronics, Crolles,

More information

THE wide spread of today s mobile and portable devices,

THE wide spread of today s mobile and portable devices, 1 Adaptive-Quantization Digital Image Sensor for Low-Power Image Compression Chen Shoushun, Amine Bermak, Senior Member, IEEE, Wang Yan, and Dominique Martinez Abstract The recent emergence of new applications

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

A Foveated Visual Tracking Chip

A Foveated Visual Tracking Chip TP 2.1: A Foveated Visual Tracking Chip Ralph Etienne-Cummings¹, ², Jan Van der Spiegel¹, ³, Paul Mueller¹, Mao-zhu Zhang¹ ¹Corticon Inc., Philadelphia, PA ²Department of Electrical Engineering, Southern

More information

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request Alexandre Guilvard 1, Josep Segura 1, Pierre Magnan 2, Philippe Martin-Gonthier 2 1 STMicroelectronics,

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

Techniques for Pixel Level Analog to Digital Conversion

Techniques for Pixel Level Analog to Digital Conversion Techniques for Level Analog to Digital Conversion Boyd Fowler, David Yang, and Abbas El Gamal Stanford University Aerosense 98 3360-1 1 Approaches to Integrating ADC with Image Sensor Chip Level Image

More information

Design and Simulation of High Speed Multi-Processing CMOS Image Sensor

Design and Simulation of High Speed Multi-Processing CMOS Image Sensor Design and Simulation of High Speed Multi-Processing CMOS Image Sensor Jérôme Dubois, Dominique Ginhac, Michel Paindavoine Laboratoire LE2I - UMR CNRS 5158 Université de Bourgogne 21078 Dijon Cedex - FRANCE

More information

Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors

Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors Martijn Snoeij 1,*, Albert Theuwissen 1,2, Johan Huijsing 1 and Kofi Makinwa 1 1 Delft University of Technology, The Netherlands

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras Paul Gallagher, Andy Brewster VLSI Vision Ltd. San Jose, CA/USA Abstract VLSI Vision Ltd. has developed the VV6801 color sensor to address

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A PFM Based Digital Pixel with Off-Pixel Residue Measurement for Small Pitch FPAs

A PFM Based Digital Pixel with Off-Pixel Residue Measurement for Small Pitch FPAs A PFM Based Digital Pixel with Off-Pixel Residue Measurement for Small Pitch FPAs S. Abbasi, Student Member, IEEE, A. Galioglu, Student Member, IEEE, A. Shafique, O. Ceylan, Student Member, IEEE, M. Yazici,

More information

CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications

CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications Nicholas A. Doudoumopoulol Lauren Purcell 1, and Eric R. Fossum 2 1Photobit, LLC 2529 Foothill Blvd. Suite 104, La Crescenta,

More information

Optical Flow Estimation. Using High Frame Rate Sequences

Optical Flow Estimation. Using High Frame Rate Sequences Optical Flow Estimation Using High Frame Rate Sequences Suk Hwan Lim and Abbas El Gamal Programmable Digital Camera Project Department of Electrical Engineering, Stanford University, CA 94305, USA ICIP

More information

CONVENTIONAL vision systems based on mathematical

CONVENTIONAL vision systems based on mathematical IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 2, FEBRUARY 1997 279 An Insect Vision-Based Motion Detection Chip Alireza Moini, Abdesselam Bouzerdoum, Kamran Eshraghian, Andre Yakovleff, Xuan Thong

More information

Single Chip for Imaging, Color Segmentation, Histogramming and Pattern Matching

Single Chip for Imaging, Color Segmentation, Histogramming and Pattern Matching Paper Title: Single Chip for Imaging, Color Segmentation, Histogramming and Pattern Matching Authors: Ralph Etienne-Cummings 1,2, Philippe Pouliquen 1,2, M. Anthony Lewis 1 Affiliation: 1 Iguana Robotics,

More information

Image sensor combining the best of different worlds

Image sensor combining the best of different worlds Image sensors and vision systems Image sensor combining the best of different worlds First multispectral time-delay-and-integration (TDI) image sensor based on CCD-in-CMOS technology. Introduction Jonathan

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

NATURALLY illuminated external scenes can have an

NATURALLY illuminated external scenes can have an 1910 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 56, NO. 5, OCTOBER 2007 Characterization and Simple Fixed Pattern Noise Correction in Wide Dynamic Range Logarithmic Imagers Stephen O. Otim,

More information

Photons and solid state detection

Photons and solid state detection Photons and solid state detection Photons represent discrete packets ( quanta ) of optical energy Energy is hc/! (h: Planck s constant, c: speed of light,! : wavelength) For solid state detection, photons

More information

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology Active Pixel Sensors Fabricated in a Standard.18 um CMOS Technology Hui Tian, Xinqiao Liu, SukHwan Lim, Stuart Kleinfelder, and Abbas El Gamal Information Systems Laboratory, Stanford University Stanford,

More information

A Current Mirroring Integration Based Readout Circuit for High Performance Infrared FPA Applications

A Current Mirroring Integration Based Readout Circuit for High Performance Infrared FPA Applications IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 50, NO. 4, APRIL 2003 181 A Current Mirroring Integration Based Readout Circuit for High Performance Infrared FPA

More information

Comparative Analysis of SNR for Image Sensors with Enhanced Dynamic Range

Comparative Analysis of SNR for Image Sensors with Enhanced Dynamic Range Comparative Analysis of SNR for Image Sensors with Enhanced Dynamic Range David X. D. Yang, Abbas El Gamal Information Systems Laboratory, Stanford University ABSTRACT Dynamic range is a critical figure

More information

A CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC

A CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC A 640 512 CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC David X.D. Yang, Abbas El Gamal, Boyd Fowler, and Hui Tian Information Systems Laboratory Electrical Engineering

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS

A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS Bhaskar Choubey, Satoshi Aoyama, Dileepan Joseph, Stephen Otim and Steve Collins Department of Engineering Science, University of

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

High Performance Imaging Using Large Camera Arrays

High Performance Imaging Using Large Camera Arrays High Performance Imaging Using Large Camera Arrays Presentation of the original paper by Bennett Wilburn, Neel Joshi, Vaibhav Vaish, Eino-Ville Talvala, Emilio Antunez, Adam Barth, Andrew Adams, Mark Horowitz,

More information

Design of an Asynchronous 1 Bit Charge Sharing Digital to Analog Converter for a Level Crossing ADC

Design of an Asynchronous 1 Bit Charge Sharing Digital to Analog Converter for a Level Crossing ADC Design of an Asynchronous 1 Bit Charge Sharing Digital to Analog Converter for a Level Crossing ADC Anita Antony 1, Shobha Rekh Paulson 2, D. Jackuline Moni 3 1, 2, 3 School of Electrical Sciences, Karunya

More information

NOWADAYS state-of-the-art image sensors impose great

NOWADAYS state-of-the-art image sensors impose great IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 8, AUGUST 2013 2561 Low-Power CMOS Image Sensor Based on Column-Parallel Single-Slope/SAR Quantization Scheme Fang Tang, Student Member, IEEE, Denis

More information

Linear Current-Mode Active Pixel Sensor

Linear Current-Mode Active Pixel Sensor University of Pennsylvania ScholarlyCommons Departmental Papers (ESE) Department of Electrical & Systems Engineering 11-1-2007 Linear Current-Mode Active Pixel Sensor Ralf M. Philipp Johns Hopkins University

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

Low-Power Digital Image Sensor for Still Picture Image Acquisition

Low-Power Digital Image Sensor for Still Picture Image Acquisition Low-Power Digital Image Sensor for Still Picture Image Acquisition Steve Tanner a, Stefan Lauxtermann b, Martin Waeny b, Michel Willemin b, Nicolas Blanc b, Joachim Grupp c, Rudolf Dinger c, Elko Doering

More information

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors LETTER IEICE Electronics Express, Vol.14, No.2, 1 12 A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors Tongxi Wang a), Min-Woong Seo

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Piotr Dudek School of Electrical and Electronic Engineering, University of Manchester

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Low Power Highly Miniaturized Image Sensor Technology

Low Power Highly Miniaturized Image Sensor Technology Low Power Highly Miniaturized Image Sensor Technology Barmak Mansoorian* Eric R. Fossum* Photobit LLC 2529 Foothill Blvd. Suite 104, La Crescenta, CA 91214 (818) 248-4393 fax (818) 542-3559 email: barmak@photobit.com

More information

Low Voltage Low Power CMOS Image Sensor with A New Rail-to-Rail Readout Circuit

Low Voltage Low Power CMOS Image Sensor with A New Rail-to-Rail Readout Circuit Low Voltage Low Power CMOS Image Sensor with A New Rail-to-Rail Readout Circuit HWANG-CHERNG CHOW and JEN-BOR HSIAO Department and Graduate Institute of Electronics Engineering Chang Gung University 259

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

Introduction to Computer Vision

Introduction to Computer Vision Introduction to Computer Vision CS / ECE 181B Thursday, April 1, 2004 Course Details HW #0 and HW #1 are available. Course web site http://www.ece.ucsb.edu/~manj/cs181b Syllabus, schedule, lecture notes,

More information

Image toolbox for CMOS image sensors simulations in Cadence ADE

Image toolbox for CMOS image sensors simulations in Cadence ADE Image toolbox for CMOS image sensors simulations in Cadence ADE David Navarro, Zhenfu Feng, ijayaragavan iswanathan, Laurent Carrel, Ian O'Connor Université de Lyon; Institut des Nanotechnologies de Lyon

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS

QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS QUATERNARY LOGIC LOOK UP TABLE FOR CMOS CIRCUITS Anu Varghese 1,Binu K Mathew 2 1 Department of Electronics and Communication Engineering, Saintgits College Of Engineering, Kottayam 2 Department of Electronics

More information

A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations

A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 1, JANUARY 2003 91 A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations Hsiu-Yu Cheng and Ya-Chin King, Member, IEEE

More information

Review of ADCs for imaging

Review of ADCs for imaging Review of ADCs for imaging Juan A. Leñero-Bardallo a, Jorge Fernández-Berni a and Ángel Rodríguez-Vázqueza a Institute of Microelectronics of Seville (IMSE-CNM), CSIC-Universidad de Sevilla, Spain ABSTRACT

More information

A Sorting Image Sensor: An Example of Massively Parallel Intensity to Time Processing for Low Latency Computational Sensors

A Sorting Image Sensor: An Example of Massively Parallel Intensity to Time Processing for Low Latency Computational Sensors Proceedings of the 1996 IEEE International Conference on Robotics and Automation Minneapolis, Minnesota April 1996 A Sorting Image Sensor: An Example of Massively Parallel Intensity to Time Processing

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

Low-power smart imagers for vision-enabled wireless sensor networks and a case study

Low-power smart imagers for vision-enabled wireless sensor networks and a case study Low-power smart imagers for vision-enabled wireless sensor networks and a case study J. Fernández-Berni, R. Carmona-Galán, Á. Rodríguez-Vázquez Institute of Microelectronics of Seville (IMSE-CNM), CSIC

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Column-Parallel Architecture for Line-of-Sight Detection Image Sensor Based on Centroid Calculation

Column-Parallel Architecture for Line-of-Sight Detection Image Sensor Based on Centroid Calculation ITE Trans. on MTA Vol. 2, No. 2, pp. 161-166 (2014) Copyright 2014 by ITE Transactions on Media Technology and Applications (MTA) Column-Parallel Architecture for Line-of-Sight Detection Image Sensor Based

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

UNLIKE traditional temperature sensors that utilize offchip

UNLIKE traditional temperature sensors that utilize offchip 1246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 6, JUNE 2010 A Sub-W Embedded CMOS Temperature Sensor for RFID Food Monitoring Application Man Kay Law, Student Member, IEEE, Amine Bermak, Senior

More information

Chapter 3 Wide Dynamic Range & Temperature Compensated Gain CMOS Image Sensor in Automotive Application. 3.1 System Architecture

Chapter 3 Wide Dynamic Range & Temperature Compensated Gain CMOS Image Sensor in Automotive Application. 3.1 System Architecture Chapter 3 Wide Dynamic Range & Temperature Compensated Gain CMOS Image Sensor in Automotive Application Like the introduction said, we can recognize the problem would be suffered on image sensor in automotive

More information

A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge Storage in a Pixel and Multiple Sampling

A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge Storage in a Pixel and Multiple Sampling ensors 2008, 8, 1915-1926 sensors IN 1424-8220 2008 by MDPI www.mdpi.org/sensors Full Research Paper A Dynamic Range Expansion Technique for CMO Image ensors with Dual Charge torage in a Pixel and Multiple

More information

A Self-Contained Large-Scale FPAA Development Platform

A Self-Contained Large-Scale FPAA Development Platform A SelfContained LargeScale FPAA Development Platform Christopher M. Twigg, Paul E. Hasler, Faik Baskaya School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia 303320250

More information

A Parallel Analog CCD/CMOS Signal Processor

A Parallel Analog CCD/CMOS Signal Processor A Parallel Analog CCD/CMOS Signal Processor Charles F. Neugebauer Amnon Yariv Department of Applied Physics California Institute of Technology Pasadena, CA 91125 Abstract A CCO based signal processing

More information

A new Photon Counting Detector: Intensified CMOS- APS

A new Photon Counting Detector: Intensified CMOS- APS A new Photon Counting Detector: Intensified CMOS- APS M. Belluso 1, G. Bonanno 1, A. Calì 1, A. Carbone 3, R. Cosentino 1, A. Modica 4, S. Scuderi 1, C. Timpanaro 1, M. Uslenghi 2 1-I.N.A.F.-Osservatorio

More information

A DIGITAL CMOS ACTIVE PIXEL IMAGE SENSOR FOR MULTIMEDIA APPLICATIONS. Zhimin Zhou, Bedabrata Paint, Jason Woo, and Eric R. Fossum*

A DIGITAL CMOS ACTIVE PIXEL IMAGE SENSOR FOR MULTIMEDIA APPLICATIONS. Zhimin Zhou, Bedabrata Paint, Jason Woo, and Eric R. Fossum* A DIGITAL CMOS ACTIVE PIXEL IMAGE SENSO FO MULTIMEDIA APPLICATIONS Zhimin Zhou, Bedabrata Paint, Jason Woo, and Eric. Fossum* Electrical Engineering Department University of California, Los Angeles 405

More information

A new Photon Counting Detector: Intensified CMOS- APS

A new Photon Counting Detector: Intensified CMOS- APS A new Photon Counting Detector: Intensified CMOS- APS M. Belluso 1, G. Bonanno 1, A. Calì 1, A. Carbone 3, R. Cosentino 1, A. Modica 4, S. Scuderi 1, C. Timpanaro 1, M. Uslenghi 2 1- I.N.A.F.-Osservatorio

More information

Computational Sensors

Computational Sensors Computational Sensors Suren Jayasuriya Postdoctoral Fellow, The Robotics Institute, Carnegie Mellon University Class Announcements 1) Vote on this poll about project checkpoint date on Piazza: https://piazza.com/class/j6dobp76al46ao?cid=126

More information

A Readout ASIC for CZT Detectors

A Readout ASIC for CZT Detectors A Readout ASIC for CZT Detectors L.L.Jones a, P.Seller a, I.Lazarus b, P.Coleman-Smith b a STFC Rutherford Appleton Laboratory, Didcot, OX11 0QX, UK b STFC Daresbury Laboratory, Warrington WA4 4AD, UK

More information

A flexible compact readout circuit for SPAD arrays ABSTRACT Keywords: 1. INTRODUCTION 2. THE SPAD 2.1 Operation 7780C - 55

A flexible compact readout circuit for SPAD arrays ABSTRACT Keywords: 1. INTRODUCTION 2. THE SPAD 2.1 Operation 7780C - 55 A flexible compact readout circuit for SPAD arrays Danial Chitnis * and Steve Collins Department of Engineering Science University of Oxford Oxford England OX13PJ ABSTRACT A compact readout circuit that

More information

A pix 4-kfps 14-bit Digital-Pixel PbSe-CMOS Uncooled MWIR Imager

A pix 4-kfps 14-bit Digital-Pixel PbSe-CMOS Uncooled MWIR Imager IEEE International Symposium on Circuits & Systems ISCAS 2018 Florence, Italy May 27-30 1/26 A 128 128-pix 4-kfps 14-bit Digital-Pixel PbSe-CMOS Uncooled MWIR Imager R. Figueras 1, J.M. Margarit 1, G.

More information

Ultra-high resolution 14,400 pixel trilinear color image sensor

Ultra-high resolution 14,400 pixel trilinear color image sensor Ultra-high resolution 14,400 pixel trilinear color image sensor Thomas Carducci, Antonio Ciccarelli, Brent Kecskemety Microelectronics Technology Division Eastman Kodak Company, Rochester, New York 14650-2008

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

High-end CMOS Active Pixel Sensor for Hyperspectral Imaging

High-end CMOS Active Pixel Sensor for Hyperspectral Imaging R11 High-end CMOS Active Pixel Sensor for Hyperspectral Imaging J. Bogaerts (1), B. Dierickx (1), P. De Moor (2), D. Sabuncuoglu Tezcan (2), K. De Munck (2), C. Van Hoof (2) (1) Cypress FillFactory, Schaliënhoevedreef

More information

Introduction. Chapter 1

Introduction. Chapter 1 1 Chapter 1 Introduction During the last decade, imaging with semiconductor devices has been continuously replacing conventional photography in many areas. Among all the image sensors, the charge-coupled-device

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor Article DOI: 10.21307/ijssis-2018-013 Issue 0 Vol. 0 Implementation of 144 64 Pixel Array Bezel-Less Cmos Fingerprint Sensor Seungmin Jung School of Information and Technology, Hanshin University, 137

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

DURING the past few years, fueled by the demands of multimedia

DURING the past few years, fueled by the demands of multimedia IEEE SENSORS JOURNAL, VOL. 11, NO. 11, NOVEMBER 2011 2621 Charge Domain Interlace Scan Implementation in a CMOS Image Sensor Yang Xu, Adri J. Mierop, and Albert J. P. Theuwissen, Fellow, IEEE Abstract

More information

Analysis and Simulation of CTIA-based Pixel Reset Noise

Analysis and Simulation of CTIA-based Pixel Reset Noise Analysis and Simulation of CTIA-based Pixel Reset Noise D. A. Van Blerkom Forza Silicon Corporation 48 S. Chester Ave., Suite 200, Pasadena, CA 91106 ABSTRACT This paper describes an approach for accurately

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

ACURRENT reference is an essential circuit on any analog

ACURRENT reference is an essential circuit on any analog 558 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 A Precision Low-TC Wide-Range CMOS Current Reference Guillermo Serrano, Member, IEEE, and Paul Hasler, Senior Member, IEEE Abstract

More information

UNCLASSIFlED CCD FOCAL PLANE IMAGE PROCESSING. 14 November 1988

UNCLASSIFlED CCD FOCAL PLANE IMAGE PROCESSING. 14 November 1988 UNCLASSIFlED To appear in Proc. 1988 Conf. Pattern Recognition for Adv. Missile Systems Huntsville, AL Nov 1988 CCD FOCAL PLANE IMAGE PROCESSING 14 November 1988 Eric R. Fossum Department of Electrical

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

[2] Brajovic, V. and T. Kanade, Computational Sensors for Global Operations, IUS Proceedings,

[2] Brajovic, V. and T. Kanade, Computational Sensors for Global Operations, IUS Proceedings, page 14 page 13 References [1] Ballard, D.H. and C.M. Brown, Computer Vision, Prentice-Hall, 1982. [2] Brajovic, V. and T. Kanade, Computational Sensors for Global Operations, IUS Proceedings, pp. 621-630,

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR Mark Downing 1, Peter Sinclaire 1. 1 ESO, Karl Schwartzschild Strasse-2, 85748 Munich, Germany. ABSTRACT The photon

More information

The dynamic power dissipated by a CMOS node is given by the equation:

The dynamic power dissipated by a CMOS node is given by the equation: Introduction: The advancement in technology and proliferation of intelligent devices has seen the rapid transformation of human lives. Embedded devices, with their pervasive reach, are being used more

More information

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos Small Size Σ Analog to Digital Converter for X-rays imaging Aplications University of Minho Department of Industrial Electronics This report describes

More information