A vision sensor with on-pixel ADC and in-built light adaptation mechanism

Size: px
Start display at page:

Download "A vision sensor with on-pixel ADC and in-built light adaptation mechanism"

Transcription

1 Microelectronics Journal 33 (2002) A vision sensor with on-pixel ADC and in-built light adaptation mechanism Amine Bermak*, Abdessellam Bouzerdoum, Kamran Eshraghian School of Engineering and Mathematics, Edith Cowan University, 100 Joondalup Drive, Perth, WA 6027, Australia Received 1 February 2002; revised 4 July 2002; accepted 23 July 2002 Abstract In this paper we propose an on-pixel Analogue-to-Digital Converter (ADC) based on pulse frequency modulation (PFM) scheme. This PFM based converter presents a viable solution for pixel-level based ADC. It uses a simple and robust circuit that can be implemented in a compact area resulting in a 23% fill-factor for a digital vision sensor in 0.25 mm CMOS technology. An in-built light adaptation mechanism has also been implemented which allows the sensor to better adapt to low-light intensity or to adjust the sensor saturation level. As a consequence, the proposed sensor features a programmable dynamic range. Image lag is eliminated since a reset of the photodetector is performed after the conversion period. A prototype comprising a pixel array has been implemented in CMOS 0.25 mm technology. Each pixel occupies an area of mm 2 with an average power consumption of 85 mw per pixel. q 2002 Elsevier Science Ltd. All rights reserved. Keywords: CMOS vision sensors; CMOS imagers; Light adaptation; VLSI; Pulse frequency modulation 1. Introduction The deep miniaturisation and the very high level of integration in microelectronics have changed the concept of today s electronic systems. After the single-chip radio receiver and the single-chip television, the single-chip camera is appearing on the scene. This is only possible in a standard CMOS technology that allows the realisation of photodetectors together with smart signal processing operations using both analog and digital circuits. A smart vision sensor is, therefore, a device that incorporates with a photodetector array some signal processing capabilities. The reapprochement between acquisition and processing is particularly interesting because it results in improved sensor performance since the acquired image can be locally processed on the CMOS chip. Moreover, CMOS technology offers significant advantages compared to the traditional CCD technology in terms of power consumption and system cost. For these reasons, great attention has been paid to the CMOS vision sensors over the last decade [1]. Although much work has been done in the field of CMOS vision systems, CMOS sensors are not as mature as their * Corresponding author. Tel.: þ ; fax: þ address: a.bermak@ecu.edu.au (A. Bermak). CCD counterparts. A significant effort is particularly required to improve the image quality in CMOS vision sensors. Recent research work based on the back-illuminated approach [2,3] and the twin-well technology [4] have been conducted in order to improve the fill-factor and hence the image quality of the CMOS imager. Significant improvements in terms of quantum efficiency and fill-factor have been obtained using these technologies; however, the use of such sensors is still very limited to laboratory prototypes since they rely on expensive non-standard processes. As a consequence, standard CMOS technology appears to be the most appropriate candidate for consumer electronic applications such as machine vision, robotics, automotive, security, and mobile video communication. In most of these modern applications it is required to integrate the Analogue-to-Digital Converter (ADC) on the chip; this lowers further the cost of the system, reduces the power consumption and allows on-chip digital processing. In order to obtain these benefits, one must study all the possibilities and take into account the trade-offs involved in such a VLSI implementation. ADCs can be integrated at the chip level [5 7], at the column level [8 10], or at the pixel level [11 14]. The chip level approach is at present the most widely used. In this approach, a single ADC is used for the whole imager, which reduces the total silicon area and allows the realisation of high fill-factor vision sensor since /02/$ - see front matter q 2002 Elsevier Science Ltd. All rights reserved. PII: S (02)

2 1092 A. Bermak et al. / Microelectronics Journal 33 (2002) most of the pixel area would be dedicated to the photodetectors. However, by using a chip level ADC, the signal-to-noise ratio is dramatically reduced since the weak analog output of the pixel will have to drive the whole column bus and this problem becomes even worse for very large sensor arrays. In addition very high speed ADC, operating at about 10 M samples/s for video, is required for the chip level ADC approach, which increases the power consumption. To lower the ADC operating speed, the column-level approach is used. In this approach, a battery of ADCs, each dedicated to one or more columns of the vision sensor, is used. The ADCs are operated in parallel, and therefore, low-to-medium-speed ADC architecture can be used [8 10]. To lower the ADC required speed even further the pixel-level approach is used. In this approach, an ADC is dedicated to each pixel and the array is operated in parallel. Consequently, very low speed converters can be used and a high level of parallelism is obtained using ADCs operating at tens of samples per second [13]. An efficient exploitation of the parallelism can reduce global power consumption and significantly speed-up data conversion, thus increasing the frame rate of the imager [13,14]. In addition, when the ADC is integrated within the pixel the load capacitance seen at the pixel output is negligible as compared to the one that is associated with the array and column based ADC. This reduces the KTC noise, which is a limiting factor of array based ADCs. Unfortunately, the main drawback of an onpixel ADC is a reduced fill-factor of the image sensor since a significant part of the pixel area will be dedicated to the ADC circuitry. As a consequence, implementing high fillfactor on-pixel ADC is a real challenge that faces researchers and CMOS imager designers. In this paper we propose an on-pixel ADC based on a pulse frequency modulation (PFM) scheme that can be integrated with very limited number of transistors, leading to a high fill-factor imager with on-pixel ADC. In Section 2, we introduce the principle of the PFM based ADC. In Section 3, we present the sensor in-built light adaptation mechanism. In Section 4, we describe the VLSI design, present the simulation results and the performance of the onpixel ADC. Section 5 presents a conclusion. 2. Pixel-level ADC The principle behind the proposed on-pixel PFM based converter is shown in the block diagram of Fig. 1(A). The circuit is composed of a photosensitive device (photodiode P d ) with its internal capacitance C d ; a PMOS reset transistor, a feedback circuit, a clocked comparator and a digital counter/register. The light falling onto the photodiode P d is responsible for discharging the internal capacitor of the photodiode C d : This results in a decreasing voltage V d across the node of the photodiode as shown in Fig. 1(B). This voltage V d is compared to a reference voltage V ref using a voltage comparator triggered by a clock signal Clk. When the voltage Vd reaches the reference voltage V ref ; the output of the comparator V out is switched high and a feedback circuit is used to reset the photodiode. A pulse is generated at the output of the comparator whenever the voltage V d reaches V ref : Fig. 1(B) shows the voltage across the photodiode V d (top figure) where T d represents the discharge time of the capacitor from V dd to V ref and can be expressed by T d ¼ ðv dd 2 V ref ÞC d ð1þ i d where i d and C d are the photocurrent and the photodiode capacitor, respectively. The frequency f of the voltage across the photodiode V d is inversely proportional to T d and therefore can be expressed as: f < 1 T d ¼ i d k with k ¼ðV dd 2 V ref ÞC d The switching frequency of the comparator output V out ; which is the same as that of V d (Fig. 1(B)), is directly proportional to ð2þ Fig. 1. (A) Block diagram of the proposed pixel based ADC. (B) Voltages of the different nodes of the circuit. V d is the voltage at the sensing photodiode node, V out is the output voltage of the comparator and En is the Enable signal of the counter/register.

3 A. Bermak et al. / Microelectronics Journal 33 (2002) the capacitor discharge current i d : Therefore, a conversion from photocurrent to pulse frequency is obtained. The output of the ADC is a signal which is very similar to a PFM scheme whereby the frequency of the signal is proportional to the photocurrent as described by Eq. (2). The voltage V ref is obtained internally at the pixel level using a voltage divider. A drop of the supply voltage V dd will induce a similar drop on V ref resulting in a constant ðv dd 2 V ref Þ within the array. The frequency f is therefore insensitive to variations in the supply voltage. This feature is very interesting for highresolution image sensor arrays where variations in the supply voltage of distant pixels are very likely to be significant. The output of the comparator is directly connected to the input of a digital counter/register with an Enable signal (En) provided externally as shown in Fig. 1(A). The counter is only operational during a counting period T cnt (Fig. 1(B), bottom), set by the external En signal. The number of impulses detected by the counter is therefore given by: N imp. T cnt T d By replacing T d by its value we obtain: T N imp. cnt i d ðv dd 2 V ref ÞC d 3. Sensor in-built light adaptation mechanism Adaptation to light intensity is a very important criteria for vision sensors because natural light levels can vary by over eight orders of magnitude [15]. The dynamic range of conventional CMOS imagers is mainly limited by the photodiode linear response, causing blooming to occur for ð3þ ð4þ typical natural scene illumination conditions. For this reason at least a global adaptation to the mean light intensity is required in CMOS vision sensors. This is traditionally achieved through a complex analog circuit implementing an automatic gain control within the sensor array. In our proposed digital sensor, this function can be simply implemented by modulating the T cnt signal according to the mean light intensity. If the sensor is being used in a given scene where illumination levels result in a photocurrent varying from 0 to i dm ; then T cnt will be modulated such that 2 n impulses resulting from the PFM scheme are counted for the maximum photocurrent i dm ; where n is the number of bits. By substituting N imp ¼ 2 n and i d ¼ i dm in Eq. (4) we deduce the optimum T cnt as follow: T cnt ¼ 2 n ðv dd 2 V ref Þ C i d ð5þ dm In our proposed digital sensor, the Enable signal of the counter, which is high during T cnt ; is therefore used to adapt the ADC to different illumination levels so that the brightest pixel would always generate 2 n pulses and hence would correspond to the full range of the ADC. According to this adaptation mechanism, for each environment where the photocurrent varies from 0 to i dm ; a corresponding optimum T cnt can be obtained using Eq. (5). This T cnt will correspond to the minimum counting time that allows the use of the ADCs full range. In other words, T cnt will optimise the dynamic range as well as the conversion speed of the ADC. Fig. 2(A) shows the variation of T cnt as a function of the photocurrent i dm for different precision (6, 8, and 10 bits). It can be seen from this figure that a longer conversion time is required for increased accuracy as well as higher photocurrent. Table 1 shows a typical example in which we consider the use of the ADC for three different environments: (i) environment 1 corresponds to a typical Fig. 2. (A) Optimum T cnt as function of the illumination levels for different precisions (6, 8 and 10 bits). (B) SQNR as function of T cnt for different environments.

4 1094 A. Bermak et al. / Microelectronics Journal 33 (2002) Table 1 Conversion results for an 8-bit accuracy using optimal T cnt values (Eq. (5)) for three different environments. For the three cases the full range of the ADC (00 FF) is being used Environment (1) Typical bright office (M1) (2) Typical outdoor light (M2) (3) Typical sunlight (M3) Photocurrent, i d (na) Optimal T cnt (ms) Digital output (Hex) FF FF FF bright office (Fig. 2(A), M1); (ii) environment 2 corresponds to a typical outdoor light (Fig. 2(A), M2), and (iii) environment 3 corresponds to a typical sunlight (Fig. 2(A), M3). In this example T cnt is obtained from Eq. (4), with V dd ¼ 2:5 V,V ref ¼ 1V,C d ¼ 1 ff and n ¼ 8 bits. For the three cases, the ADC operates within its full dynamic range (digital output: 00 FF). Fig. 2(B) shows the variation of the Signal to Quantisation Noise Ratio (SQNR) as a function of T cnt for different environments. For each environment, there exists one, and only one T cnt ; that optimises both the conversion speed and the SQNR. For each environment one must first estimate the maximum photocurrent and then calculate the optimum T cnt (Eq. (5)) that yields to the maximum SQNR without dramatically affecting the conversion speed. As an example, we can see from Fig. 2(B) that the optimum T cnt is equal to 48, 96 and 192 ms for photocurrents equal to 8, 4 and 2 na, respectively. It can be noticed from Fig. 2(B) that, on the one hand, by choosing T cnt lower than the optimum value, the SQNR is dramatically decreased. On the other hand, by choosing T cnt higher than the optimum value, the conversion speed is reduced without any gain in terms of SQNR performance (flat curve at about SQNR ¼ 48 db). Table 2 shows a typical example where T cnt is chosen constant (equal to 48 ms) for three different environments. In this situation, we can see that the ADC will operate within its full range (digital output: 00 FF) only for environment 3 while a 1-bit loss (digital output: 00 7F) is obtained for environment 2 and a 2-bit loss (digital output: 00 3F) is obtained for environment 1. These results are confirmed by the SQNR graph of Fig. 2(B) where we can note that keeping T cnt constant and reducing i dm by half results in a 6 db loss in SQNR, which corresponds to 1 bit at the digital output. The previous example shows that the Enable signal provides the possibility to adapt the sensor to any illumination level and allows the ADC to operate within its full range providing an increase in dynamic range of the photosensor. This last feature is usually obtained through a complex gain control amplifier integrated within the photodetectors array. In our case, this is simply realised by using a digital Enable signal of a conventional digital counter. Table 2 Conversion results for an 8-bit accuracy using fixed T cnt (Eq. (5)) for three different environments. As it can be seen from this table, 1 bit is lost for environment 2 corresponding to 6 db in terms of SQNR (Fig. 2(B), point N2) and 2 bits are lost for environment 3 corresponding to 12 db loss of SQNR (Fig. 2(B), point N1) Environment (1) Typical bright office (N1) (2) Typical outdoor light (N2) (3): Typical sunlight (N3) 4. VLSI design Photocurrent, i d (na) Fixed T cnt (ms) Digital output (Hex) F F FF The pixel-level ADC proposed in this paper operates in two different phases: (i) counting phase and a (ii) shift-out phase. During the counting phase, the counter/register is configured as a counter allowing to count the impulses generated by the comparator during a user defined T cnt period. During this period, all the pixels are operated in parallel resulting in full parallelism. Once the conversion is achieved, the shift-out mode is set and the digital values of the pixels stored in the internal registers are read-out. In this scheme, no read-out circuit is needed and hence the silicon area is fully dedicated to the photodetector array. Fig. 3, right and left shows the electrical simulation of the proposed approach for two different levels of illumination. Figures from top to bottom correspond to node photodiode voltage V d ; comparator clock, Counter/register Enable signal, and the digital outputs, respectively. It is clear from this figure that the frequency of the diode node voltage V d (top figure) depends on the illumination level. The final digital values are fed-out serially using the shift register during the shift-out phase. Additional gating circuitry has been included within each pixel in order to switch all the analog circuitry part to a stand-by mode during the shift-out phase. This has resulted in a significant reduction in power consumption during the shift-out phase. The average power consumption per pixel is estimated at 85 mw, where more than 95% of it is dissipated during the counting phase. A read-out speed of up to 1K frames/s could be obtained for an array of in typical office light condition corresponding to a counting phase of 576 ms and a shiftout phase of 234 ms. Although, it appears that the PFM counting phase is fairly slow; it does not, however, affect the overall performance of the vision sensor array since the conversion is done entirely in parallel. Fig. 4(A) shows the layout of the pixel based ADC. It has been implemented using CMOS 0.25 mm technology and occupies an area of mm 2 with a fill-factor of

5 A. Bermak et al. / Microelectronics Journal 33 (2002) Fig. 3. Simulation results of the pixel based ADC for different level of illumination (left and right figures). Wave forms from top to bottom correspond to node photodiode voltage V d, comparator clock, counter/register Enable signal, and the digital outputs, respectively. 23%. Careful attention has been paid to the layout of the pixel by separating the analog and digital parts and using guard rings and grounded shields. Different power supplies were also used for analog and digital circuits. Fig. 4(B) shows the layout of the array. It occupies a silicon area of 10 mm 2 with an average power consumption of 87 mw. Table 3 summarises the performance of the prototype. Fig. 4. (A) Layout of a single pixel occupying an area of mm 2. (B) Layout of the final VLSI prototype comprising pixel array integrated using 0.25 mm CMOS technology.

6 1096 A. Bermak et al. / Microelectronics Journal 33 (2002) Table 3 Performance of the prototype Feature Specification are also due to Dr Farid Boussaid and Prof. Paul Jespers for helpful discussions. Resolution Pixel size (x y ) mm 2 Fill-factor 23% Read-out speed 1K frames/s Power consumption 87 mw ADC On-pixel PFM-based ADC Chip size 10 mm 2 5. Conclusion In this paper we have presented a digital vision sensor based on the PFM scheme. The proposed approach presents a very viable solution for pixel-level based ADC. It uses a very simple and robust circuit that can be implemented in a compact area leading to a 23% fill-factor, which compares very favourably with the 3% fill-factor reported in Ref. [11]. An in-built light adaptation mechanism has also been implemented whereby it is possible to either improve the low light performance of the digital sensor or to modify its saturation level. As a consequence, the proposed sensor features a programmable dynamic range. This feature reduces considerably the blooming effect that can occur for typical natural scene illumination conditions. In addition, the proposed PFM-based ADC is insensitive to variations of the supply and reference voltages. The voltage V ref is obtained internally using a voltage divider. A drop of the supply voltage V dd will induce a similar drop on V ref resulting in a constant ðv dd 2 V ref Þ: Since the photocurrent i d is proportional to ðv dd 2 V ref Þ; our image vision sensor is insensitive to variations of the supply voltage of distant pixels which is very likely to be significant particularly in high-resolution image sensors. A prototype including an array of pixels has been designed and fabricated using CMOS 0.25 mm technology. The circuit occupies an area of 10 mm 2 and an estimated average power consumption of 87 mw. Currently, research work is being conducted on optimising further the performance of this vision sensor. Particularly, attention is being placed on optimising the power consumption by using other conversion schemes such as Pulse Width Modulation. Acknowledgements The authors would like to gratefully thank the financial support of the Australian Research Council (ARC). Thanks References [1] E. Fossum, CMOS image sensors: electronic camera-on-chip, IEEE Transactions on Electron Devices 44 (10) (1997) [2] B.I. Nemirovsky, Quantum efficiency and cross talk of an improved backside-illuminated indium antimonide focal-plane array, IEEE Transactions on Electron Devices 38 (8) (1991) [3] B.I. Nemirovsky, Surface passivation of backside-illuminated indium antimonide focal plane array, IEEE Transactions on Electron Devices 40 (2) (1993) [4] G. Meynants, B. Dierickx, D. Scheffer, CMOS active pixel image sensor with CCD performance, AFPAEC Europto/SPIE, Zurich; Proceedings of SPIE 3410 (1998) [5] M. loinaz, K. Singh, A. Blanksby, D. Inglis, K. Azadet, B. Ackland, A 200 mw 3.3 V CMOS camera IC producing b video at 30 frames/s, ISSCC Digital Technical Papers, San Francisco, CA, 1998, pp [6] S. Smith, J. Hurwitz, M. Torrie, D. Baxter, A. Holmes, M. Panaghiston, R. Henderson, A. Murray, S. Anderson, P. Denyer, A single-chip pixel CMOS NTSC video camera, ISSCC Digital Technical Papers, San Francisco, CA, 1998, pp [7] A. Bermak, A. Bouzerdoum, K. Eshraghian, A high fill-factor native logarithmic pixel: simulation, design and layout optimization, Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS 2000), Geneva, Switzerland V (May) (2000) [8] S. Mendis, S. Kemeny, E. Fossum, A CMOS active pixel for highly integrated imaging systems, IEEE IEDM Tech. Dig., San Jose, CA, USA (1993) [9] B. Pain, E. Fossum, Approaches and analysis for on focal-plane analog-to-digital analog-to-digital conversion, Proceedings of SPIE, Orlando, FL, USA, April 1994, pp [10] S. Decker, R. McGrath, K. Brehmer, C. Sodini, A CMOS imaging array with wide dynamic range pixels and column-parallel digital output, ISSCC Digital Technical Papers, San Francisco, CA, USA, Feb 1998, pp [11] B. Fowler, A. El Gamal, D.X.D. Yang, A CMOS area image sensor with pixel-level A/D conversion, ISSCC Digital Technical Papers, San Francisco, CA, USA, Feb 1994, pp [12] D. Yang, B. Fowler, A. El Gamal, A pixel CMOS area image sensor with multiplexed pixel level A/D conversion, Proceedings of the IEEE 1996 Custom Integrated Circuits Conference, San Diego, CA, USA, 1996, pp [13] D. Yang, B. Fowler, A. El Gamal, A Nyquist-rate pixel-level ADC for CMOS image sensors, IEEE Journal of Solid-State Circuits 34 (3) (March 1999) [14] S. Kleinfelder, S.H. Lim, X.Q. Liu, A. El Gamal, A 10,000 frames/s 0.18 mm CMOS digital pixel sensor with pixel-level memory, Proceedings of the 2001 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, Feb 2001, pp [15] G.C. Holst, CCD Arrays, Cameras and Displays, SPIE Optical Engineering Press, 1996.

A CMOS Imager with PFM/PWM Based Analogto-digital

A CMOS Imager with PFM/PWM Based Analogto-digital Edith Cowan University Research Online ECU Publications Pre. 2011 2002 A CMOS Imager with PFM/PWM Based Analogto-digital Converter Amine Bermak Edith Cowan University 10.1109/ISCAS.2002.1010386 This conference

More information

IT IS widely expected that CMOS image sensors would

IT IS widely expected that CMOS image sensors would IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 1, JANUARY 2006 15 A DPS Array With Programmable Resolution and Reconfigurable Conversion Time Amine Bermak, Senior Member,

More information

VLSI DESIGN OF A HIGH-SPEED CMOS IMAGE SENSOR WITH IN-SITU 2D PROGRAMMABLE PROCESSING

VLSI DESIGN OF A HIGH-SPEED CMOS IMAGE SENSOR WITH IN-SITU 2D PROGRAMMABLE PROCESSING VLSI DESIGN OF A HIGH-SED CMOS IMAGE SENSOR WITH IN-SITU 2D PROGRAMMABLE PROCESSING J.Dubois, D.Ginhac and M.Paindavoine Laboratoire Le2i - UMR CNRS 5158, Universite de Bourgogne Aile des Sciences de l

More information

Design and Simulation of High Speed Multi-Processing CMOS Image Sensor

Design and Simulation of High Speed Multi-Processing CMOS Image Sensor Design and Simulation of High Speed Multi-Processing CMOS Image Sensor Jérôme Dubois, Dominique Ginhac, Michel Paindavoine Laboratoire LE2I - UMR CNRS 5158 Université de Bourgogne 21078 Dijon Cedex - FRANCE

More information

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor ELEN6350 High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor Summary: The use of image sensors presents several limitations for visible light spectrometers. Both CCD and CMOS one dimensional imagers

More information

Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors

Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors Martijn Snoeij 1,*, Albert Theuwissen 1,2, Johan Huijsing 1 and Kofi Makinwa 1 1 Delft University of Technology, The Netherlands

More information

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request Alexandre Guilvard1, Josep Segura1, Pierre Magnan2, Philippe Martin-Gonthier2 1STMicroelectronics, Crolles,

More information

ABSTRACT. Section I Overview of the µdss

ABSTRACT. Section I Overview of the µdss An Autonomous Low Power High Resolution micro-digital Sun Sensor Ning Xie 1, Albert J.P. Theuwissen 1, 2 1. Delft University of Technology, Delft, the Netherlands; 2. Harvest Imaging, Bree, Belgium; ABSTRACT

More information

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras Paul Gallagher, Andy Brewster VLSI Vision Ltd. San Jose, CA/USA Abstract VLSI Vision Ltd. has developed the VV6801 color sensor to address

More information

Low Voltage Low Power CMOS Image Sensor with A New Rail-to-Rail Readout Circuit

Low Voltage Low Power CMOS Image Sensor with A New Rail-to-Rail Readout Circuit Low Voltage Low Power CMOS Image Sensor with A New Rail-to-Rail Readout Circuit HWANG-CHERNG CHOW and JEN-BOR HSIAO Department and Graduate Institute of Electronics Engineering Chang Gung University 259

More information

A CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC

A CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC A 640 512 CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC David X.D. Yang, Abbas El Gamal, Boyd Fowler, and Hui Tian Information Systems Laboratory Electrical Engineering

More information

Low-Power Digital Image Sensor for Still Picture Image Acquisition

Low-Power Digital Image Sensor for Still Picture Image Acquisition Low-Power Digital Image Sensor for Still Picture Image Acquisition Steve Tanner a, Stefan Lauxtermann b, Martin Waeny b, Michel Willemin b, Nicolas Blanc b, Joachim Grupp c, Rudolf Dinger c, Elko Doering

More information

Low Power Highly Miniaturized Image Sensor Technology

Low Power Highly Miniaturized Image Sensor Technology Low Power Highly Miniaturized Image Sensor Technology Barmak Mansoorian* Eric R. Fossum* Photobit LLC 2529 Foothill Blvd. Suite 104, La Crescenta, CA 91214 (818) 248-4393 fax (818) 542-3559 email: barmak@photobit.com

More information

Comparative Analysis of SNR for Image Sensors with Enhanced Dynamic Range

Comparative Analysis of SNR for Image Sensors with Enhanced Dynamic Range Comparative Analysis of SNR for Image Sensors with Enhanced Dynamic Range David X. D. Yang, Abbas El Gamal Information Systems Laboratory, Stanford University ABSTRACT Dynamic range is a critical figure

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Noise Performance of Time-Domain CMOS Image Sensors

Noise Performance of Time-Domain CMOS Image Sensors Chapter 10 Noise Performance of Time-Domain CMOS Image Sensors Fernando de S. Campos, José Alfredo C. Ulson, José Eduardo C. Castanho and Paulo R. Aguiar Additional information is available at the end

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

A digital pixel sensor array with programmable dynamic range

A digital pixel sensor array with programmable dynamic range University of Wollongong Research Online Faculty of Informatics - Papers (Archive) Faculty of Engineering and Information Sciences 2005 A digital pixel sensor array with programmable dynamic range A. Kitchen

More information

Characterization of CMOS Image Sensors with Nyquist Rate Pixel Level ADC

Characterization of CMOS Image Sensors with Nyquist Rate Pixel Level ADC Characterization of CMOS Image Sensors with Nyquist Rate Pixel Level ADC David Yang, Hui Tian, Boyd Fowler, Xinqiao Liu, and Abbas El Gamal Information Systems Laboratory, Stanford University, Stanford,

More information

A flexible compact readout circuit for SPAD arrays ABSTRACT Keywords: 1. INTRODUCTION 2. THE SPAD 2.1 Operation 7780C - 55

A flexible compact readout circuit for SPAD arrays ABSTRACT Keywords: 1. INTRODUCTION 2. THE SPAD 2.1 Operation 7780C - 55 A flexible compact readout circuit for SPAD arrays Danial Chitnis * and Steve Collins Department of Engineering Science University of Oxford Oxford England OX13PJ ABSTRACT A compact readout circuit that

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Annals of the Academy of Romanian Scientists Series on Science and Technology of Information ISSN 2066-8562 Volume 3, Number 2/2010 7 LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Vlad ANGHEL

More information

EE 392B: Course Introduction

EE 392B: Course Introduction EE 392B Course Introduction About EE392B Goals Topics Schedule Prerequisites Course Overview Digital Imaging System Image Sensor Architectures Nonidealities and Performance Measures Color Imaging Recent

More information

RECENTLY, CMOS imagers, which integrate photosensors, A New CMOS Pixel Structure for Low-Dark-Current and Large-Array-Size Still Imager Applications

RECENTLY, CMOS imagers, which integrate photosensors, A New CMOS Pixel Structure for Low-Dark-Current and Large-Array-Size Still Imager Applications 2204 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 11 NOVEMBER 2004 A New CMOS Pixel Structure for Low-Dark-Current and Large-Array-Size Still Imager Applications Yu-Chuan Shih,

More information

A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations

A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 1, JANUARY 2003 91 A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations Hsiu-Yu Cheng and Ya-Chin King, Member, IEEE

More information

Ultra-high resolution 14,400 pixel trilinear color image sensor

Ultra-high resolution 14,400 pixel trilinear color image sensor Ultra-high resolution 14,400 pixel trilinear color image sensor Thomas Carducci, Antonio Ciccarelli, Brent Kecskemety Microelectronics Technology Division Eastman Kodak Company, Rochester, New York 14650-2008

More information

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology Active Pixel Sensors Fabricated in a Standard.18 um CMOS Technology Hui Tian, Xinqiao Liu, SukHwan Lim, Stuart Kleinfelder, and Abbas El Gamal Information Systems Laboratory, Stanford University Stanford,

More information

ACTIVE PIXEL SENSORS VS. CHARGE-COUPLED DEVICES

ACTIVE PIXEL SENSORS VS. CHARGE-COUPLED DEVICES ACTIVE PIXEL SENSORS VS. CHARGE-COUPLED DEVICES Dr. Eric R. Fossum Imaging Systems Section Jet Propulsion Laboratory, California Institute of Technology (818) 354-3128 1993 IEEE Workshop on CCDs and Advanced

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications

CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications Nicholas A. Doudoumopoulol Lauren Purcell 1, and Eric R. Fossum 2 1Photobit, LLC 2529 Foothill Blvd. Suite 104, La Crescenta,

More information

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request

A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request A Digital High Dynamic Range CMOS Image Sensor with Multi- Integration and Pixel Readout Request Alexandre Guilvard 1, Josep Segura 1, Pierre Magnan 2, Philippe Martin-Gonthier 2 1 STMicroelectronics,

More information

Pixel Level Processing Why, What, and How?

Pixel Level Processing Why, What, and How? Level Processing Why, What, and How? Abbas El Gamal, David Yang, and Boyd Fowler Information Systems Laboratory, Stanford University Stanford, CA 94305 USA ABSTRACT level processing promises many significant

More information

THE PAST decade has seen the emergence of CMOS image

THE PAST decade has seen the emergence of CMOS image 1 Robust Intermediate Read-Out for Deep Submicron Technology CMOS Image Sensors Chen Shoushun, Student Member, IEEE, Farid Boussaid, Senior Member, IEEE, and Amine Bermak, Senior Member, IEEE Abstract

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS

A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS A 200X100 ARRAY OF ELECTRONICALLY CALIBRATABLE LOGARITHMIC CMOS PIXELS Bhaskar Choubey, Satoshi Aoyama, Dileepan Joseph, Stephen Otim and Steve Collins Department of Engineering Science, University of

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

A Foveated Visual Tracking Chip

A Foveated Visual Tracking Chip TP 2.1: A Foveated Visual Tracking Chip Ralph Etienne-Cummings¹, ², Jan Van der Spiegel¹, ³, Paul Mueller¹, Mao-zhu Zhang¹ ¹Corticon Inc., Philadelphia, PA ²Department of Electrical Engineering, Southern

More information

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor Article DOI: 10.21307/ijssis-2018-013 Issue 0 Vol. 0 Implementation of 144 64 Pixel Array Bezel-Less Cmos Fingerprint Sensor Seungmin Jung School of Information and Technology, Hanshin University, 137

More information

A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge Storage in a Pixel and Multiple Sampling

A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge Storage in a Pixel and Multiple Sampling ensors 2008, 8, 1915-1926 sensors IN 1424-8220 2008 by MDPI www.mdpi.org/sensors Full Research Paper A Dynamic Range Expansion Technique for CMO Image ensors with Dual Charge torage in a Pixel and Multiple

More information

ABSTRACT. Keywords: 0,18 micron, CMOS, APS, Sunsensor, Microned, TNO, TU-Delft, Radiation tolerant, Low noise. 1. IMAGERS FOR SPACE APPLICATIONS.

ABSTRACT. Keywords: 0,18 micron, CMOS, APS, Sunsensor, Microned, TNO, TU-Delft, Radiation tolerant, Low noise. 1. IMAGERS FOR SPACE APPLICATIONS. Active pixel sensors: the sensor of choice for future space applications Johan Leijtens(), Albert Theuwissen(), Padmakumar R. Rao(), Xinyang Wang(), Ning Xie() () TNO Science and Industry, Postbus, AD

More information

THE LAST decade has witnessed significant technological

THE LAST decade has witnessed significant technological 1 Arbitrated Time-to-First Spike CMOS Image Sensor With On-Chip Histogram Equalization Chen Shoushun, Student Member, IEEE, and Amine Bermak, Senior Member, IEEE Abstract This paper presents a time-to-first

More information

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier JAN DOUTRELOIGNE Center for Microsystems Technology (CMST) Ghent University

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Designing CMOS folded-cascode operational amplifier with flicker noise minimisation

Designing CMOS folded-cascode operational amplifier with flicker noise minimisation Microelectronics Journal 32 (200) 69 73 Short Communication Designing CMOS folded-cascode operational amplifier with flicker noise minimisation P.K. Chan*, L.S. Ng, L. Siek, K.T. Lau Microelectronics Journal

More information

A PFM Based Digital Pixel with Off-Pixel Residue Measurement for Small Pitch FPAs

A PFM Based Digital Pixel with Off-Pixel Residue Measurement for Small Pitch FPAs A PFM Based Digital Pixel with Off-Pixel Residue Measurement for Small Pitch FPAs S. Abbasi, Student Member, IEEE, A. Galioglu, Student Member, IEEE, A. Shafique, O. Ceylan, Student Member, IEEE, M. Yazici,

More information

Advanced output chains for CMOS image sensors based on an active column sensor approach a detailed comparison

Advanced output chains for CMOS image sensors based on an active column sensor approach a detailed comparison Sensors and Actuators A 116 (2004) 304 311 Advanced output chains for CMOS image sensors based on an active column sensor approach a detailed comparison Shai Diller, Alexander Fish, Orly Yadid-Pecht 1

More information

A Short History of Using Cameras for Weld Monitoring

A Short History of Using Cameras for Weld Monitoring A Short History of Using Cameras for Weld Monitoring 2 Background Ever since the development of automated welding, operators have needed to be able to monitor the process to ensure that all parameters

More information

CMOS digital pixel sensor array with time domain analogue to digital conversion

CMOS digital pixel sensor array with time domain analogue to digital conversion Edith Cowan University Research Online Theses: Doctorates and Masters Theses 2004 CMOS digital pixel sensor array with time domain analogue to digital conversion Alistair J. Kitchen Edith Cowan University

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

CMOS Circuit for Low Photocurrent Measurements

CMOS Circuit for Low Photocurrent Measurements CMOS Circuit for Low Photocurrent Measurements W. Guggenbühl, T. Loeliger, M. Uster, and F. Grogg Electronics Laboratory Swiss Federal Institute of Technology Zurich, Switzerland A CMOS amplifier / analog-to-digital

More information

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Piotr Dudek School of Electrical and Electronic Engineering, University of Manchester

More information

Techniques for Pixel Level Analog to Digital Conversion

Techniques for Pixel Level Analog to Digital Conversion Techniques for Level Analog to Digital Conversion Boyd Fowler, David Yang, and Abbas El Gamal Stanford University Aerosense 98 3360-1 1 Approaches to Integrating ADC with Image Sensor Chip Level Image

More information

DURING the past few years, fueled by the demands of multimedia

DURING the past few years, fueled by the demands of multimedia IEEE SENSORS JOURNAL, VOL. 11, NO. 11, NOVEMBER 2011 2621 Charge Domain Interlace Scan Implementation in a CMOS Image Sensor Yang Xu, Adri J. Mierop, and Albert J. P. Theuwissen, Fellow, IEEE Abstract

More information

A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment

A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment A rad-hard 8-channel 12-bit resolution ADC for slow control applications in the LHC environment G. Magazzù 1,A.Marchioro 2,P.Moreira 2 1 INFN-PISA, Via Livornese 1291 56018 S.Piero a Grado (Pisa), Italy

More information

Introduction. Chapter 1

Introduction. Chapter 1 1 Chapter 1 Introduction During the last decade, imaging with semiconductor devices has been continuously replacing conventional photography in many areas. Among all the image sensors, the charge-coupled-device

More information

A High Image Quality Fully Integrated CMOS Image Sensor

A High Image Quality Fully Integrated CMOS Image Sensor A High Image Quality Fully Integrated CMOS Image Sensor Matt Borg, Ray Mentzer and Kalwant Singh Hewlett-Packard Company, Corvallis, Oregon Abstract We describe the feature set and noise characteristics

More information

CDTE and CdZnTe detector arrays have been recently

CDTE and CdZnTe detector arrays have been recently 20 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 44, NO. 1, FEBRUARY 1997 CMOS Low-Noise Switched Charge Sensitive Preamplifier for CdTe and CdZnTe X-Ray Detectors Claudio G. Jakobson and Yael Nemirovsky

More information

CHARGE-COUPLED device (CCD) technology has been. Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, IEEE

CHARGE-COUPLED device (CCD) technology has been. Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008 1405 Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, IEEE Abstract A

More information

Analysis and Simulation of CTIA-based Pixel Reset Noise

Analysis and Simulation of CTIA-based Pixel Reset Noise Analysis and Simulation of CTIA-based Pixel Reset Noise D. A. Van Blerkom Forza Silicon Corporation 48 S. Chester Ave., Suite 200, Pasadena, CA 91106 ABSTRACT This paper describes an approach for accurately

More information

UNCLASSIFlED CCD FOCAL PLANE IMAGE PROCESSING. 14 November 1988

UNCLASSIFlED CCD FOCAL PLANE IMAGE PROCESSING. 14 November 1988 UNCLASSIFlED To appear in Proc. 1988 Conf. Pattern Recognition for Adv. Missile Systems Huntsville, AL Nov 1988 CCD FOCAL PLANE IMAGE PROCESSING 14 November 1988 Eric R. Fossum Department of Electrical

More information

Low Power Sensors for Urban Water System Applications

Low Power Sensors for Urban Water System Applications Hong Kong University of Science and Technology Electronic and Computer Engineering Department Low Power Sensors for Urban Water System Applications Prof. Amine Bermak Workshop on Smart Urban Water Systems

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

Low depth, low power carry lookahead adders using threshold logic

Low depth, low power carry lookahead adders using threshold logic Microelectronics Journal 33 (2002) 1071 1077 www.elsevier.com/locate/mejo Low depth, low power carry lookahead adders using threshold logic Peter Celinski a, *, Jose F. López b, S. Al-Sarawi a, Derek Abbott

More information

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power High Speed Comparator for Analog to Digital Converters Ultra Low Power High Speed Comparator for Analog to Digital Converters Suman Biswas Department Of Electronics Kiit University Bhubaneswar,Odisha Dr. J. K DAS Rajendra Prasad Abstract --Dynamic comparators

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 Electrical Characterisation of CMOS Ring Oscillator This pamphlet describes a laboratory activity based on an integrated circuit originally designed and tested

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos Small Size Σ Analog to Digital Converter for X-rays imaging Aplications University of Minho Department of Industrial Electronics This report describes

More information

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes two methods for the reduction of the peak

More information

Image capture using integrated 3D SoftChip technology

Image capture using integrated 3D SoftChip technology Research Online ECU Publications Pre. 2011 2002 Image capture using integrated 3D SoftChip technology Stefan Lachowicz Alexander Rassau Geoffrey Alagoda Kamran Eshraghian Myung-ok Lee Dongshin University,

More information

A Current Mirroring Integration Based Readout Circuit for High Performance Infrared FPA Applications

A Current Mirroring Integration Based Readout Circuit for High Performance Infrared FPA Applications IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 50, NO. 4, APRIL 2003 181 A Current Mirroring Integration Based Readout Circuit for High Performance Infrared FPA

More information

IRIS3 Visual Monitoring Camera on a chip

IRIS3 Visual Monitoring Camera on a chip IRIS3 Visual Monitoring Camera on a chip ESTEC contract 13716/99/NL/FM(SC) G.Meynants, J.Bogaerts, W.Ogiers FillFactory, Mechelen (B) T.Cronje, T.Torfs, C.Van Hoof IMEC, Leuven (B) Microelectronics Presentation

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

IEEE. Proof. CHARGE-COUPLED device (CCD) technology has been

IEEE. Proof. CHARGE-COUPLED device (CCD) technology has been TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008 1 Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, Abstract A photodiode (PD)-type

More information

CONVENTIONAL vision systems based on mathematical

CONVENTIONAL vision systems based on mathematical IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 2, FEBRUARY 1997 279 An Insect Vision-Based Motion Detection Chip Alireza Moini, Abdesselam Bouzerdoum, Kamran Eshraghian, Andre Yakovleff, Xuan Thong

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain

Design And Implementation of Pulse-Based Low Power 5-Bit Flash Adc In Time-Domain IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 13, Issue 3, Ver. I (May. - June. 2018), PP 55-60 www.iosrjournals.org Design And Implementation

More information

Linear Current-Mode Active Pixel Sensor

Linear Current-Mode Active Pixel Sensor University of Pennsylvania ScholarlyCommons Departmental Papers (ESE) Department of Electrical & Systems Engineering 11-1-2007 Linear Current-Mode Active Pixel Sensor Ralf M. Philipp Johns Hopkins University

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Review of ADCs for imaging

Review of ADCs for imaging Review of ADCs for imaging Juan A. Leñero-Bardallo a, Jorge Fernández-Berni a and Ángel Rodríguez-Vázqueza a Institute of Microelectronics of Seville (IMSE-CNM), CSIC-Universidad de Sevilla, Spain ABSTRACT

More information

READOUT TECHNIQUES FOR DRIFT AND LOW FREQUENCY NOISE REJECTION IN INFRARED ARRAYS

READOUT TECHNIQUES FOR DRIFT AND LOW FREQUENCY NOISE REJECTION IN INFRARED ARRAYS READOUT TECHNIQUES FOR DRIFT AND LOW FREQUENCY NOISE REJECTION IN INFRARED ARRAYS Finger 1, G, Dorn 1, R.J 1, Hoffman, A.W. 2, Mehrgan, H. 1, Meyer, M. 1, Moorwood A.F.M. 1 and Stegmeier, J. 1 1) European

More information

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A VLSI IMPLEMENTATION FOR HIGH SPEED AND HIGH SENSITIVE FINGERPRINT SENSOR USING CHARGE ACQUISITION PRINCIPLE Kumudlata Bhaskar

More information

ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA

ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA Analog Integrated Circuits and Signal Processing, 43, 127 136, 2005 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. ALow Voltage Wide-Input-Range Bulk-Input CMOS OTA IVAN

More information

:- ADC test chip is designed to be multiplexed among 8 columns in a semi-column parallel current mode APS architecture.

:- ADC test chip is designed to be multiplexed among 8 columns in a semi-column parallel current mode APS architecture. Progress in voltage and current mode on-chip analog-to-digital converters for CMOS image sensors Roger Panicacci, Bedabrata Pain, Zhimin Zhou, Junichi Nakamura, and Eric R. Fossum Center for Space Microelectronics

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS P. MARTIN-GONTHIER, F. CORBIERE, N. HUGER, M. ESTRIBEAU, C. ENGEL,

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

Design and Characterization of CMOS/SOI Image Sensors

Design and Characterization of CMOS/SOI Image Sensors Edith Cowan University Research Online ECU Publications Pre. 2011 2007 Design and Characterization of CMOS/SOI Image Sensors Igor Brouk Kamal Alameh Edith Cowan University Yael Nemirovsky 10.1109/TED.2006.890585

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

IN RECENT years, we have often seen three-dimensional

IN RECENT years, we have often seen three-dimensional 622 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Design and Implementation of Real-Time 3-D Image Sensor With 640 480 Pixel Resolution Yusuke Oike, Student Member, IEEE, Makoto Ikeda,

More information

Optical Flow Estimation. Using High Frame Rate Sequences

Optical Flow Estimation. Using High Frame Rate Sequences Optical Flow Estimation Using High Frame Rate Sequences Suk Hwan Lim and Abbas El Gamal Programmable Digital Camera Project Department of Electrical Engineering, Stanford University, CA 94305, USA ICIP

More information

A 1.5-V 550-W Autonomous CMOS Active Pixel Image Sensor

A 1.5-V 550-W Autonomous CMOS Active Pixel Image Sensor 96 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 1, JANUARY 2003 A 1.5-V 550-W 176 144 Autonomous CMOS Active Pixel Image Sensor Kwang-Bo Cho, Member, IEEE, Alexander I. Krymski, Member, IEEE, and

More information

Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers

Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 1, JANUARY 2001 37 Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers Yngvar Berg, Tor S. Lande,

More information

High-end CMOS Active Pixel Sensor for Hyperspectral Imaging

High-end CMOS Active Pixel Sensor for Hyperspectral Imaging R11 High-end CMOS Active Pixel Sensor for Hyperspectral Imaging J. Bogaerts (1), B. Dierickx (1), P. De Moor (2), D. Sabuncuoglu Tezcan (2), K. De Munck (2), C. Van Hoof (2) (1) Cypress FillFactory, Schaliënhoevedreef

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A pix 4-kfps 14-bit Digital-Pixel PbSe-CMOS Uncooled MWIR Imager

A pix 4-kfps 14-bit Digital-Pixel PbSe-CMOS Uncooled MWIR Imager IEEE International Symposium on Circuits & Systems ISCAS 2018 Florence, Italy May 27-30 1/26 A 128 128-pix 4-kfps 14-bit Digital-Pixel PbSe-CMOS Uncooled MWIR Imager R. Figueras 1, J.M. Margarit 1, G.

More information