COMPUne ARCHIIECIUR -'^'X/'^y

Size: px
Start display at page:

Download "COMPUne ARCHIIECIUR -'^'X/'^y"

Transcription

1 John L. Hennessy and David A. Patterson jrth Edition ^CLJ CO 3ja ou -hb^ COMPUne ARCHIIECIUR -'^'X/'^y W ^ ^ ^ ^ ^ m Sj KIJS^ ; *1!!S 1* 1 ^ 1 1 ier. -. V 9-5 tfj r.'m^

2 Computer Architecture Formulas 1. CPU time = Instruction count x Clock cycles per instruction x Clock cycle time Execution time old 2. Amdahl's Law: Speedup^,,,,,, = ^^.^^.-^^.-^^ =, i t..- ^ ^ Fraction, hanced bxecution time,^ (^ _ Fraction, hanced) + o^^^h.m ^peeaupgnhanced 3. Power^y^^j^ - 1/2 x Capacitive load x Voltage^ x Frequency switched 4. Power^i^,i^ = Current^t^ji^ x Voltage 5. Average memory-access time = Hit time -i- Miss rate x Miss penalty 6. Availability = Mean Time To FaiI/(Mean Time To Fail + Mean Time to Repair) -I r^- ij iir r ij /'i Defects per unit area X Die areav" 7. Die yield = Wafer yield x I 1 -t- ^ 1 where Wafer yield accounts for wafers that are so bad they need not be tested and a is a fitted parameter that approximates the number of masking levels critical to die yield (usually a = 4.0) 8. Misses per instruction - Miss rate x Memory access per instruction 9. Cache index size: l'"**^" = Cache size/(biock size x Set associativity) 10. Means arithmetic (AM), weighted arithmetic (WAM), and geometric (GM): AM = i n n ( 5^ Time,- WAM = ^ Weighty x Time, GM = m Time, = exp - x ^ ln(time,) n where Time, is the execution time for the /th program of a total of n in the workload, Weight, is the weighting of the rth program in the workload. 11. Geometric standard deviation - exp ^ ln(time,) - ln(geometic mean))' Rules of Thumb 1. Amdahl/Case Rule: A balanced computer system needs about 1 MB of main memory capacity and I megabit per second of I/O bandwidth per MIPS of CPU performance /70 Locality Rule: A program executes about 90% of its instructions in 10% of its code. 3. Bandwidth Rule: Bandwidth grows by at least the square of the improvement in latency. 4. 2:1 Cache Rule: The miss rate of a direct-mapped cache of size N is about the same as a two-way setassociative cache of size N/2. 5. Dependability Rule: Design with no single point of failure.

3 In Praise oi Computer Architecture: A Quantitative Approach Fourth Edition "The multiprocessor is here and it can no longer be avoided. As we bid farewell. to single-core processors and move into the chip multiprocessing age. it is great timing for a new edition of Hennessy and Patterson's classic. Few books have had as significant an impact on the way their discipline is taught, and the current edition will ensure its place at the top for some time to come." Luiz Andre Barroso. Google Inc. "What do the following have in common: Beatles' tunes. HP calculators, chocolate chip cookies, and Computer Architecture^ They are all classics that have stood the test of fime." Robert P. Colwell, Intel lead architect "Not only does the book provide an authoritative reference on the concepts that all computer architects should be familiar with, but it is also a good starting point for investigations into emerging areas in the field." Krisztian Flautner, ARM Ltd. "The best keeps getting better! This new edition is updated and very relevant to the key issues in computer architecture today. Plus, its new exercise paradigm is much more useful for both students and instructors." Norman P. Jouppi, HP Labs ""Computer Architecture builds on fundamentals that yielded the RISC revolution, including the enablers for CISC translation. Now. in this new edition, it clearly explains and gives insight into the latest microarchitecture techniques needed for the new generation of multithreaded multicore processors." Marc Tremblay, Fellow & VP, Chief Architect, Sun Microsystems "This is a great textbook on all key accounts: pedagogically superb in exposing the ideas and techniques that define the art of computer organization and design, stimulating to read, and comprehensive in its coverage of topics. The first edition set a standard of excellence and relevance; this latest edition does it again." Milos Ercegovac, UCLA "They've done it again. Hennessy and Patterson emphatically demonstrate why they are the doyens of this deep and shifting field. Fallacy: Computer architecture isn't an essential subject in the information age. Pitfall: You don't need the 4th edition of Computer Architecture." Michael D. Smith. Harvard University

4 "Hennessy and Patterson have done it again 1 The 4th edition is a classic encore that has been adapted beautifully to meet the rapidly changing constraints of "late-cmos-era" technology. The detailed case studies of real processor products are especially educational, and the text reads so smoothly that it is difficult to put down. This book is a must-read for students and professionals alike!" Pradip Bose, IBM "This latest edition of Computer Architecture is sure to provide smdents with the architectural framework and foundation they need to become influential architects of the future." Ravishankar Iyer. Intel Corp. "As technology has advanced, and design opportunities and constraints have changed, so has this book. The 4th edition continues the tradition of presenting the latest in innovations with commercial impact, alongside the foundational concepts: advanced processor and memor>' system design techniques, multithreading and chip multiprocessors, storage systems, virtual machines, and other concepts. This book is an excellent resource for anybody interested in learning the architectural concepts underlying real commercial products." Gurindar Sohi. University of Wisconsin-Madison "I am \ery happy to have my students study computer architecture using this fantastic book and am a little jealous for not having written it myself." Mateo Valero, UPC, Barcelona "Hennessy and Patterson continue to evolve their teaching methods with the changing landscape of computer system design. Smdents gain unique insight into the factors influencing the shape of computer architecmre design and the potential research directions in the computer systems field." Dan Connors. University of Colorado at Boulder "With this revision. Computer Architecture will remain a must-read for all computer architecture smdents in the coming decade " Wen-mei Hwu. University of Illinois at Urbana-Champaign "The 4th edition of Computer Architecture continues in the tradition of providing a relevant and cutting edge approach that appeals to students, researchers, and designers of computer systems. The lessons that this new edition teaches will continue to be as relevant as ever for its readers." David Brooks, Harvard University Architec "With the 4th edition, Hennessy and Patterson ha\e shaped Computer ture back to the lean focus that made the 1st edition an instant classic." Mark D. Hill, University of Wisconsin-Madison

5 Computer Architecture A Quantitative Approach Fourth Edition

6 John L. Hennessy is the president of Stanford University, where he has been a member of the faculty since 1977 in the departments of electrical engineering and computer science. Hennessy is a Fellow of the IEEE and ACM, a member of the National Academy of Engineering and the National Academy of Science, and a Fellow of the American Academy of Arts and Sciences. Among his many awards are the 2001 Eckert-Mauchly Award for his contributions to RISC technology, the 2001 Seymour Cray Computer Engineering Award, and the 2000 John von Neumann Award, which he shared with David Patterson. He has also received seven honorary doctorates. In 1981, he started the MIPS project at Stanford with a handful of graduate students. After completing the project in 1984, he took a one-year leave from the university to cofound MIPS Computer Systems, which developed one of the first commercial RISC microprocessors. After being acquired by Silicon Graphics in 1991, MIPS Technologies became an independent company in 1998,focusing on microprocessors for the embedded marketplace. As of 2006, over 500 million MIPS microprocessors have been shipped in devices ranging from video games and palmtop computers to laser printers and network switches. David A. Patterson has been teaching computer architecture at the University of California, Berkeley since joining the faculty in 1977, where he holds the Pardee Chair of Computer Science. His teaching has been honored by the Abacus Award from Upsilon Pi Epsilon, the Distinguished Teaching Award from the University of California, the Karlstrom Award from ACM, and the Mulligan Education Medal and Undergraduate Teaching Award from IEEE. Patterson received the IEEE Technical Achievement Award for contributions to RISC and shared the IEEE Johnson Information Storage Award for contributions to RAID. He then shared the IEEE John von Neumann Medal and the C & C Prize with John Hennessy. Like his co-author, Patterson is a Fellow of the American Academy of Arts and Sciences, ACM, and IEEE, and he was elected to the National Academy of Engineering, the National Academy of Sciences, and the Silicon Valley Engineering Hall of Fame. He served on the Information Technology Advisory Committee to the U.S. President, as chair ofthecs division in the Berkeley EECS department, as chair of the Computing Research Association,and as President of ACM.This record led to a Distinguished Service Award from CRA. At Berkeley, Patterson led the design and implementation of RISC I, likely the first VLSI reduced instruction set computer.this research became the foundation of the SPARC architecture, currently used by Sun Microsystems, Fujitsu, and others. He was a leader of the Redundant Arrays of Inexpensive Disks (RAID) project, which led to dependable storage systems from many companies. He was also involved in the Network of Workstations (NOW) project, which led to cluster technology used by Internet companies.these projects earned three dissertation awards from the ACM. His current research projects are the RAD Lab, which is inventing technology for reliable, adaptive, distributed Internet services, and the Research Accelerator for Multiple Processors (RAMP) project, which is developing and distributing low-cost, highly scalable, parallel computers based on FPGAs and open-source hardware and software.

7 Computer Architecture A Quantitative Approach Fourth Edition John L Hennessy Stanford University David A. Patterson University of California at Berkeley DAIHOCQUOCGIAHANQI^ TRUt^G TAM THONG TIN THU VIEN With Contributions by Andrea C. Arpaci-Dusseau University of Wisconsin-Madison Remzi H. Arpaci-Dusseau University of Wisconsin-Madison Krste Asanovic Massachusetts InstHute of Technology Robert P. Colwell R&E Colwell & Associates, Inc Thomas M. Conte North Carolina State University Jose Duato Universitat Politecnica de Valencia and Simula Diana Franklin California Polytechnic State University. San Luis Obispo David Goldberg Xerox Palo Alto Research Center Wen-mei W. Hwu University of Illinois at Urbana-Champaign Norman P. Jouppi HP Labs Timothy M. Pinkston University of Southern California John W. Sias University of Illinois at Urbana-Champaign David A. Wood University of Wisconsin-Madison ELSEVIER Amsterdam Boston Heidelberg London New York Oxford Paris San Diego San Francisco Singapore Sydney Tokyo l< MORGAN KAUFMANN PUBLISHERS

8 Puhllsher Denise E. M. Penrose Project Manujier Dusty Friedman, The Book Company In-housc Senior Project Manager Brandy Lilly Developmental Editor Nate McFadden Editorial Assistant Kimberlee Honjo Cover Design Elisabeth Seller and Ross Carron Design Cover Image Richard lansons Collection: Lonely Planet Images Composition Nancy Logan Text Design: Rebecca Evans & Associates Technical Illustration David Ruppe. Impact Publications Copy-editor Ken Delia Penta Proofreader Jamie Thaman Indexer Nancy Ball Printer Maple-Vail Book Manufacturing Group Morgan Kaulmann Publishers is an Imprint of Elsevier 500 Sansnme Street. Suite 400. San Francisco. CA This book is printed on acid-ivee paper , 2007 by Elsevier, Inc. All rights reserved. Published Fourth edition 2007 Designations used by companies to distinguish their products are often claimed as trademarks or registered trademarks. In all instances in which Morgan Kaufmann Publishers is aware of a claim, the product names appear in initial capital or all capital letters. Readers, however, should contact the appropriate companies for more complete information regarding trademarks and registration. Permissions may be sought directly from Elsevier's Science & Technology Rights Department in Oxford. UK: phone: (+44) fax: (+44) permissions@elsevier. com. You may also complete your request on-line via the Elsevier Science homepage ihttp:// etsevier.com). by selecting "Customer Support" and then "Obtaining Permissions." Library of Congress Cataloging-in-Publication Data Hennessy, John L. Computer architecture : a quantitative approach / John L. Hennessy. David A. Patterson : with contributions by Andrea C. Arpaci-Dusseau... [et al.]. 4th ed. p.cm. includes bibliographical references and index. ISBN 13: ISBN 10: (pbk. : alk. paper) ^ 1. Computer architecture. I. Patterson. David A. II. Arpaci-Dusseau, Andrea C. III. Title. QA76.9.A73P '2 dc For all information on all Morgan Kaufmann publications. visit our website at n \v^v.nlkp.conl or n\v\v.t)ooks.elsevier.com Printed in the United States of America Working together to grow libraries in developing countries \v\v\v.bookaid.org ELSEVIER BOOK AID International Sabre Foundation

9 To Andrea, Linda, and our four sons

10 Foreword by Fred Weber, President and CEO ofmetoram, Inc. I am honored and privileged to write the foreword for the fourth edition of this most important book in computer architecture. In the first edition, Gordon Bell, my first industry mentor, predicted the book's central position as the definitive text for computer architecture and design. He was right. I clearly remember the excitement generated by the introduction of this work. Rereading it now. with significant extensions added in the three new editions, has been a pleasure all over again. No other work in computer architecture frankly, no other work I have read in any field so quickly and effortlessly takes the reader from ignorance to a breadth and depth of knowledge. This book is dense in facts and figures, in rules of thumb and theories, in examples and descriptions. It is stuffed with acronyms, technologies, trends, formulas, illustrations, and tables. And, this is thoroughly appropriate for a work on architecture. The architect's role is not that of a scientist or inventor who will deeply study a particular phenomenon and create new basic materials or techniques. Nor is the architect the craftsman who masters the handling of tools to craft the finest details. The architect's role is to combine a thorough understanding of the state of the art of what is possible, a thorough understanding of the historical and current styles of what is desirable, a sense of design to conceive a harmonious total system, and the confidence and energy to marshal this knowledge and available resources to go out and get something built. To accomplish this, the architect needs a tremendous density of information with an in-depth understanding of the fundamentals and a quanfitative approach to ground his thinking. That is exactly what this book delivers. As computer architecture has evolved from a world of mainframes, minicomputers, and microprocessors, to a world dominated by microprocessors, and now into a world where microprocessors themselves are encompassing all the complexity of mainframe computers Hennessy and Patterson have updated their book appropriately. The first edition showcased the IBM 360. DEC VAX, and Intel 80x86. each the pinnacle of its class of computer, and helped introduce the world to RISC architecture. The later editions focused on the details of the 80x86 and RISC processors, which had come to dominate the landscape. This latest edition expands the coverage of threading and multiprocessing, virtualization IX

11 Computer Architecture and memory hierarchy, and storage systems, giving the reader context appropriate to today's most important directions and setting the.stage for the next decade of design. It highlights the AMD Opteron and SUN Niagara as the best examples of the x86 and SPARC (RISC) architectures brought into the new world of multiprocessing and system-on-a-chip architecture, thus grounding the art and science in real-world commercial examples. The first chapter, in less than 60 pages, introduces the reader to the taxonomies of computer design and the basic concerns of computer architecture, gives an overview of the technology trends that drive the industry, and lays out a quantitative approach to using all this information in the art of computer design. The next two chapters focus on traditional CPU design and give a strong grounding in the possibilities and limits in this core area. The final three chapters build out an understanding of system issues with multiprocessing, memory hierarchy, and storage. Knowledge of these areas has always been of critical importance to the computer architect. In this era of system-on-a-chip designs, it is essential for every CPU architect. Finally the appendices provide a great depth of understanding by working through specific examples in great detail. In design it is important to look at both the forest and the trees and to move easily between these views. As you work through this book you will find plenty of both. The result of great architecture, whether in computer design, building design or textbook design, is to take the customer's requirements and desires and return a design that causes that customer to say, "Wow, I didn't know that was possible." This book succeeds on that measure and will, I hope, give you as much pleasure and value as it has me.

12 Contents Foreword Preface Acknowledgments ix xv xxiii Chapter 1 Fundamentals of Computer Design 1.1 Introduction Classes of Computers Defining Computer Architecture Trends in Technology Trends in Power in Integrated Circuits Trends in Cost Dependability Measuring,Reporting,and Summarizing Performance ^ Quantitative Principles of Computer Design Putting It All Together: Performance and Price-Performance Fallacies and Pitfalls Concluding Remarks Historical Perspectives and References 54 Case Studies with Exercises by Diana Franklin 55 Chapter 2 Instruction-Level Parallelism and Its Exploitation 2.1 Instruction-Level Parallelism;Concepts and Challenges Basic Compiler Techniques for Exposing ILP Reducing Branch Costs with Prediction Overcoming Data Hazards with Dynamic Scheduling Dynamic Scheduling: Examples and the Algorithm Hardware-Based Speculation Exploiting ILP Using Multiple Issue and Static Scheduling 114 XI

13 xii Contents 2.8 Exploiting ILP Using Dynamic Scheduling, Multiple Issue, and Speculation Advanced Techniques for Instruction Delivery and Speculation Putting It All TogetherThe Intel Pentium Fallacies and Pitfalls Concluding Remarks Historical Perspective and References 141 Case Studies with Exercises by Robert PColwell 142 Chapter 3 Limits on Instruction-Level Parallelism 3.1 Introduction Studies ofthe Limitations of ILP Limitations on ILP for Realizable Processors Crosscutting Issues: Hardware versus Software Speculation Multithreading: Using ILP Support to Exploit Thread-Level Parallelism Putting It All Together: Performance and Efficiency in Advanced Multiple-Issue Processors Fallacies and Pitfalls Concluding Remarks Historical Perspective and References 185 Case Study with Exercises by Wen-mei W. Hwu and John W. Sias 185 Chapter4 Multiprocessors and Thread-Level Parallelism 4.1 Introduction Symmetric Shared-Memory Architectures Performance of Symmetric Shared-Memory Multiprocessors Distributed Shared Memory and Directory-Based Coherence Synchronization:The Basics Models of Memory Consistency: An Introduction Crosscutting Issues Putting It AIITogether:The SunTl Multiprocessor Fallacies and Pitfalls Concluding Remarks Historical Perspective and References 264 Case Studies with Exercises by David A.Wood 264 Chapter 5 Memory Hierarchy Design 5.1 Introduction Eleven Advanced Optimizations of Cache Performance Memory Technology and Optimizations 310

14 Contents ^ xiir 5.4 Protection:Virtual Memory and Virtual Machines 5.5 Crosscutting Issues: The Design of Memory Hierarchies 5.6 Putting It All Together: AMD Opteron Memory Hierarchy 5.7 Fallacies and Pitfalls 5.8 Concluding Remarks 5.9 Historical Perspective and References Case Studies with Exercises by Norman RJouppi Chapter6 Storage Systems 6.1 Introduction 6.2 Advanced Topics in Disk Storage 6.3 Definition and Examples of Real Faults and Failures 6.4 I/O Performance, Reliability Measures,and Benchmarks 6.5 A Little Queuing Theory 6.6 Crosscutting Issues 6.7 Designing and Evaluating an I/O System The Internet Archive Cluster 6.8 Putting It All Together: NetApp FAS6000 Filer 6.9 Fallacies and Pitfalls 6.10 Concluding Remarks 6.11 Historical Perspective and References Case Studies with Exercises by Andrea C. Arpaci-Dusseau and Remzi H. Arpaci-Dusseau Appendix A Pipelining: Basic and Intermediate Concepts Introduction The Major Hurdle of Pipelining Pipeline Hazards How Is Pipelining Implemented? What Makes Pipelining Hard to Implement? Extending the MIPS Pipeline to Handle Multicycle Operations Putting It All TogetherThe MIPS R4000 Pipeline Crosscutting Issues Fallacies and Pitfalls Concluding Remarks A-2 A-11 A-26 A-37 A-47 A-56 A-65 A-75 A-76 A-77 Appendix B Instruction Set Principles and Examples B.I Introduction B.2 Classifying Instruction Set Architectures B.3 Memory Addressing B.4 Type and Size of Operands B.5 Operations in the Instruction Set B-2 B-3 B M4

15 xiv Contents B.6 Instructions for Control Flow B.7 Encoding an Instruction Set B.8 Crosscutting lssues:the Role of Compilers B.9 Putting It AllTogether:The MIPS Architecture B.10 Fallacies and Pitfalls B.I 1 Concluding Remarks B.I 2 Historical Perspective and References B-16 B-21 B-24 B-32 B-39 B-45 B-47 Appendix C Review of Memory Hierarchy C.I Introduction C.2 Cache Performance C.3 Six Basic Cache Optimizations C.4 Virtual Memory C.5 Protection and Examples of Virtual Memory C.6 Fallacies and Pitfalls C.7 Concluding Remarks C.8 Historical Perspective and References C-2 C-15 C-22 C-38 C-47 C-56 C-57 C-58 Appendix D Appendix E Companion CD Appendices Embedded Systems Updated by Thomas M. Conte Interconnection Networks Revised by TItvothy M. Pinkston and Jose Duato Appendix F Vector Processors Revised by Krste Asanovic Appendix G Hardware and Software for VLIW and EPIC ^ Appendix H Large-Scale Multiprocessors and Scientific Applications Appendix I Computer Arithmetic by David Goldberg Appendix J Survey of Instruction Set Architectures Appendix K Historical Perspectives and References Online Appendix {textbooks.elsevier.com/ ) Appendix L Solutions to Case Study Exercises References Index R-1 1-1

Computer Architecture A Quantitative Approach

Computer Architecture A Quantitative Approach Computer Architecture A Quantitative Approach Fourth Edition John L. Hennessy Stanford University David A. Patterson University of California at Berkeley With Contributions by Andrea C. Arpaci-Dusseau

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Second Workshop on Pioneering Processor Paradigms (WP 3 )

Second Workshop on Pioneering Processor Paradigms (WP 3 ) Second Workshop on Pioneering Processor Paradigms (WP 3 ) Organizers: (proposed to be held in conjunction with HPCA-2018, Feb. 2018) John-David Wellman (IBM Research) o wellman@us.ibm.com Robert Montoye

More information

Parallelism Across the Curriculum

Parallelism Across the Curriculum Parallelism Across the Curriculum John E. Howland Department of Computer Science Trinity University One Trinity Place San Antonio, Texas 78212-7200 Voice: (210) 999-7364 Fax: (210) 999-7477 E-mail: jhowland@trinity.edu

More information

SATSim: A Superscalar Architecture Trace Simulator Using Interactive Animation

SATSim: A Superscalar Architecture Trace Simulator Using Interactive Animation SATSim: A Superscalar Architecture Trace Simulator Using Interactive Animation Mark Wolff Linda Wills School of Electrical and Computer Engineering Georgia Institute of Technology {wolff,linda.wills}@ece.gatech.edu

More information

Final Report: DBmbench

Final Report: DBmbench 18-741 Final Report: DBmbench Yan Ke (yke@cs.cmu.edu) Justin Weisz (jweisz@cs.cmu.edu) Dec. 8, 2006 1 Introduction Conventional database benchmarks, such as the TPC-C and TPC-H, are extremely computationally

More information

Embedded Systems and Software Validation

Embedded Systems and Software Validation Embedded Systems and Software Validation The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Georgia Institute of Technology The Designer s Guide to VHDL, Second Edition Peter J.

More information

MULTISCALAR PROCESSORS

MULTISCALAR PROCESSORS MULTISCALAR PROCESSORS THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE MULTISCALAR PROCESSORS by Manoj Franklin University of Maryland, US.A. SPRINGER SCIENCE+BUSINESS MEDIA, LLC Library

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

SRII SRII Management Team

SRII SRII Management Team SRII Management Team SRII President: Kris Singh, IBM Services Research Kris Singh is the Director of Strategic Programs for Service Research at IBM Almaden Research Center in San Jose, CA. Kris has over

More information

Early Adopter : Multiprocessor Programming in the Undergraduate Program. NSF/TCPP Curriculum: Early Adoption at the University of Central Florida

Early Adopter : Multiprocessor Programming in the Undergraduate Program. NSF/TCPP Curriculum: Early Adoption at the University of Central Florida Early Adopter : Multiprocessor Programming in the Undergraduate Program NSF/TCPP Curriculum: Early Adoption at the University of Central Florida Narsingh Deo Damian Dechev Mahadevan Vasudevan Department

More information

The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Princeton University

The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Princeton University NETWORKS ON CHIPS The Morgan Kaufmann Series in Systems on Silicon Series Editor: Wayne Wolf, Princeton University The rapid growth of silicon technology and the demands of applications are increasingly

More information

INSTRUMENTATION AND CONTROL SYSTEMS SECOND EDITION

INSTRUMENTATION AND CONTROL SYSTEMS SECOND EDITION INSTRUMENTATION AND CONTROL SYSTEMS SECOND EDITION INSTRUMENTATION AND CONTROL SYSTEMS SECOND EDITION WILLIAM BOLTON AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE

More information

Architecture ISCA 16 Luis Ceze, Tom Wenisch

Architecture ISCA 16 Luis Ceze, Tom Wenisch Architecture 2030 @ ISCA 16 Luis Ceze, Tom Wenisch Mark Hill (CCC liaison, mentor) LIVE! Neha Agarwal, Amrita Mazumdar, Aasheesh Kolli (Student volunteers) Context Many fantastic community formation/visioning

More information

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University CURRICULUM VITAE Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University EDUCATION: PhD Computer Science, University of Idaho, December

More information

BIOMEDICAL DIGITAL SIGNAL PROCESSING

BIOMEDICAL DIGITAL SIGNAL PROCESSING BIOMEDICAL DIGITAL SIGNAL PROCESSING C-Language Examples and Laboratory Experiments for the IBM PC WILLIS J. TOMPKINS Editor University of Wisconsin-Madison 2000 by Willis J. Tompkins This book was previously

More information

Signals and Systems Using MATLAB

Signals and Systems Using MATLAB Signals and Systems Using MATLAB Second Edition Luis F. Chaparro Department of Electrical and Computer Engineering University of Pittsburgh Pittsburgh, PA, USA AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Developing Virtual Reality Applications

Developing Virtual Reality Applications Developing Virtual Reality Applications Developing Virtual Reality Applications Foundations of Effective Design Alan B. Craig William R. Sherman Jeffrey D. Will AMSTERDAM BOSTON HEIDELBERG LONDON NEW

More information

PREDICTIVE CONTROL OF POWER CONVERTERS AND ELECTRICAL DRIVES

PREDICTIVE CONTROL OF POWER CONVERTERS AND ELECTRICAL DRIVES PREDICTIVE CONTROL OF POWER CONVERTERS AND ELECTRICAL DRIVES PREDICTIVE CONTROL OF POWER CONVERTERS AND ELECTRICAL DRIVES Jose Rodriguez and Patricio Cortes Universidad Tecnica Federico Santa Maria, Valparaiso,

More information

AUTOMOTIVE CONTROL SYSTEMS

AUTOMOTIVE CONTROL SYSTEMS AUTOMOTIVE CONTROL SYSTEMS This engineering textbook is designed to introduce advanced control systems for vehicles, including advanced automotive concepts and the next generation of vehicles for Intelligent

More information

Introducing Lynn Conway, Prof. of Electrical Engineering & Computer Science, Emerita, University of Michigan, Ann Arbor

Introducing Lynn Conway, Prof. of Electrical Engineering & Computer Science, Emerita, University of Michigan, Ann Arbor Photo of Lynn Conway, August, 2003 Introducing Lynn Conway, Prof. of Electrical Engineering & Computer Science, Emerita, University of Michigan, Ann Arbor Lynn Conway is a famed pioneer of microelectronics

More information

LOW POWER DESIGN METHODOLOGIES

LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES edited by Jan M. Rabaey University Califomia and Massoud Pedram University of Southem Califomia SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-46

More information

Introduction. Lecture 0 ICOM 4075

Introduction. Lecture 0 ICOM 4075 Introduction Lecture 0 ICOM 4075 Information Ageis the term used to refer to the present era, beginning in the 80 s. The name alludes to the global economy's shift in focus away from the manufacturing

More information

Recent Advances in Simulation Techniques and Tools

Recent Advances in Simulation Techniques and Tools Recent Advances in Simulation Techniques and Tools Yuyang Li, li.yuyang(at)wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download Abstract: Simulation refers to using specified kind

More information

Challenges in Transition

Challenges in Transition Challenges in Transition Keynote talk at International Workshop on Software Engineering Methods for Parallel and High Performance Applications (SEM4HPC 2016) 1 Kazuaki Ishizaki IBM Research Tokyo kiszk@acm.org

More information

Knowledge-B ased Process Planning for Construction and Manufacturing

Knowledge-B ased Process Planning for Construction and Manufacturing Knowledge-B ased Process Planning for Construction and Manufacturing Carlos Zozaya-Gorostiza Chris Hendrickson Daniel R. Rehak Department of Civil Engineering and Engineering Design Research Center Carnegie

More information

Department Computer Science and Engineering IIT Kanpur

Department Computer Science and Engineering IIT Kanpur NPTEL Online - IIT Bombay Course Name Parallel Computer Architecture Department Computer Science and Engineering IIT Kanpur Instructor Dr. Mainak Chaudhuri file:///e /parallel_com_arch/lecture1/main.html[6/13/2012

More information

EE 382C EMBEDDED SOFTWARE SYSTEMS. Literature Survey Report. Characterization of Embedded Workloads. Ajay Joshi. March 30, 2004

EE 382C EMBEDDED SOFTWARE SYSTEMS. Literature Survey Report. Characterization of Embedded Workloads. Ajay Joshi. March 30, 2004 EE 382C EMBEDDED SOFTWARE SYSTEMS Literature Survey Report Characterization of Embedded Workloads Ajay Joshi March 30, 2004 ABSTRACT Security applications are a class of emerging workloads that will play

More information

CS4961 Parallel Programming. Lecture 1: Introduction 08/24/2010. Course Details Time and Location: TuTh, 9:10-10:30 AM, WEB L112 Course Website

CS4961 Parallel Programming. Lecture 1: Introduction 08/24/2010. Course Details Time and Location: TuTh, 9:10-10:30 AM, WEB L112 Course Website Parallel Programming Lecture 1: Introduction Mary Hall August 24, 2010 1 Course Details Time and Location: TuTh, 9:10-10:30 AM, WEB L112 Course Website - http://www.eng.utah.edu/~cs4961/ Instructor: Mary

More information

Computer Automation in Manufacturing

Computer Automation in Manufacturing Computer Automation in Manufacturing Computer Automation in Manufacturing An introduction Thomas O. Boucher Department of Industrial Engineering Rutgers University Piscataway NJ USA SPRINGER-SCIENCE+BUSINESS

More information

Multiprocessor System-on-Chip

Multiprocessor System-on-Chip Multiprocessor System-on-Chip Michael Hübner l Editors Jürgen Becker Multiprocessor System-on-Chip Hardware Design and Tool Integration Editors Michael Hübner Karlsruhe Institute of Technology (KIT) Institut

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Wireless Communications Over Rapidly Time-Varying Channels

Wireless Communications Over Rapidly Time-Varying Channels Wireless Communications Over Rapidly Time-Varying Channels Edited by Franz Hlawatsch Gerald Matz ELSEVIER AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY

More information

VLSI, MCM, and WSI: A Design Comparison

VLSI, MCM, and WSI: A Design Comparison VLSI, MCM, and WSI: A Design Comparison EARL E. SWARTZLANDER, JR. University of Texas at Austin Three IC technologies result in different outcomes performance and cost in two case studies. The author compares

More information

LEADING DIGITAL TRANSFORMATION AND INNOVATION. Program by Hasso Plattner Institute and the Stanford Center for Professional Development

LEADING DIGITAL TRANSFORMATION AND INNOVATION. Program by Hasso Plattner Institute and the Stanford Center for Professional Development LEADING DIGITAL TRANSFORMATION AND INNOVATION Program by Hasso Plattner Institute and the Stanford Center for Professional Development GREETING Digital Transformation: the key challenge for companies and

More information

Architecting Systems of the Future, page 1

Architecting Systems of the Future, page 1 Architecting Systems of the Future featuring Eric Werner interviewed by Suzanne Miller ---------------------------------------------------------------------------------------------Suzanne Miller: Welcome

More information

Security Operations Management SECOND EDITION

Security Operations Management SECOND EDITION Security Operations Management SECOND EDITION Security Operations Management SECOND EDITION Robert D. McCrie John Jay College of Criminal Justice, The City University of New York AMSTERDAM BOSTON HEIDELBERG

More information

Mobile Broadband Multimedia Networks

Mobile Broadband Multimedia Networks Mobile Broadband Multimedia Networks Techniques, Models and Tools for 4G Edited by Luis M. Correia v c» -''Vi JP^^fte«jfc-iaSfllto ELSEVIER AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN

More information

Read & Download (PDF Kindle) Embedded Systems Architecture: A Comprehensive Guide For Engineers And Programmers (Embedded Technology)

Read & Download (PDF Kindle) Embedded Systems Architecture: A Comprehensive Guide For Engineers And Programmers (Embedded Technology) Read & Download (PDF Kindle) Embedded Systems Architecture: A Comprehensive Guide For Engineers And Programmers (Embedded Technology) This comprehensive textbook provides a broad and in-depth overview

More information

IBM Research - Zurich Research Laboratory

IBM Research - Zurich Research Laboratory October 28, 2010 IBM Research - Zurich Research Laboratory Walter Riess Science & Technology Department IBM Research - Zurich wri@zurich.ibm.com Outline IBM Research IBM Research Zurich Science & Technology

More information

Geometric Measure Theory A Beginner s Guide Fourth Edition

Geometric Measure Theory A Beginner s Guide Fourth Edition Geometric Measure Theory A Beginner s Guide Fourth Edition Here as a child I watched my mom blow soap bubbles. My dad also encouraged all my interests. This book is dedicated to them with admiration. Photograph

More information

Master of Comm. Systems Engineering (Structure C)

Master of Comm. Systems Engineering (Structure C) ENGINEERING Master of Comm. DURATION 1.5 YEARS 3 YEARS (Full time) 2.5 YEARS 4 YEARS (Part time) P R O G R A M I N F O Master of Communication System Engineering is a quarter research program where candidates

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Getting Value From Research:

Getting Value From Research: Getting Value From Research: From Research Knowledge to Profitable Products Charles B. Duke Vice President and Senior Research Fellow Xerox Innovation Group March 25, 2004 APS Meeting Montreal, Canada

More information

the Consortium's flagship publication, the Cutter IT Journal.

the Consortium's flagship publication, the Cutter IT Journal. EDWARD YOURDON EDWARD YOURDON is an internationally-recognized computer consultant, as well as the author of more than two dozen books, including Byte Wars, Managing High-Intensity Internet Projects, Death

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Dynamic MIPS Rate Stabilization in Out-of-Order Processors

Dynamic MIPS Rate Stabilization in Out-of-Order Processors Dynamic Rate Stabilization in Out-of-Order Processors Jinho Suh and Michel Dubois Ming Hsieh Dept of EE University of Southern California Outline Motivation Performance Variability of an Out-of-Order Processor

More information

The Economics of Information, Communication, and Entertainment

The Economics of Information, Communication, and Entertainment The Economics of Information, Communication, and Entertainment The Impacts of Digital Technology in the 21st Century Series Editor Darcy Gerbarg President, DVI, Ltd. Senior Fellow Columbia Institute for

More information

The Use of Patterns in Systems Engineering Satya Moorthy Robert Cloutier, Ph.D. Lockheed Martin MS2

The Use of Patterns in Systems Engineering Satya Moorthy Robert Cloutier, Ph.D. Lockheed Martin MS2 The Use of Patterns in Systems Engineering Satya Moorthy Robert Cloutier, Ph.D. Lockheed Martin MS2 10/24/06 1 Topics Abstract Definitions Value of Patterns Documented Pattern Language Patterns New Pattern

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

LEADING DIGITAL TRANSFORMATION AND INNOVATION. Program by Hasso Plattner Institute and the Stanford Center for Professional Development

LEADING DIGITAL TRANSFORMATION AND INNOVATION. Program by Hasso Plattner Institute and the Stanford Center for Professional Development LEADING DIGITAL TRANSFORMATION AND INNOVATION Program by Hasso Plattner Institute and the Stanford Center for Professional Development GREETING Digital Transformation: the key challenge for companies and

More information

FM p.i-xxii 4/2/04 11:39 AM Page v. Preface

FM p.i-xxii 4/2/04 11:39 AM Page v. Preface FM p.i-xxii 4/2/04 11:39 AM Page v The first edition of this textbook on software engineering was published more than twenty years ago. That edition was written using a dumb terminal attached to an early

More information

Architecture Design and Validation Methods

Architecture Design and Validation Methods Architecture Design and Validation Methods Springer-Verlag Berlin Heidelberg GmbH Egon Börger (Ed.) Architecture Design and Validation Methods With 175 Figures, Springer Editor Prof. Dr. Egon Börger Universita

More information

Olin College of Engineering

Olin College of Engineering University of Astana Partnership Development Tour Kazakhstan-World Bank Joint Economic Research Program Brief biographies of individuals whom the delegates will meet on the PDT Olin College of Engineering

More information

Digital Signal Processing System Design: LabVIEW-Based Hybrid Programming

Digital Signal Processing System Design: LabVIEW-Based Hybrid Programming Digital Signal Processing System Design: LabVIEW-Based Hybrid Programming by Nasser Kehtarnavaz University of Texas at Dallas With laboratory contributions by Namjin Kim and Qingzhong Peng 1111» AMSTERDAM

More information

Design of Logic Systems

Design of Logic Systems Design of Logic Systems Design of Logic Systems Second edition D. Lewin Formerly Professor of Computer Science and Information Engineering, University of Sheffield D. Protheroe Lecturer in Electronic Engineering,

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona NPTEL Online - IIT Kanpur Instructor: Dr. Mainak Chaudhuri Instructor: Dr. S. K. Aggarwal Course Name: Department: Program Optimization for Multi-core Architecture Computer Science and Engineering IIT

More information

DIGITAL DESIGN AND COMPUTER ARCHITECTURE

DIGITAL DESIGN AND COMPUTER ARCHITECTURE page 1 / 5 page 2 / 5 digital design and computer pdf Computer-aided design (CAD) is the use of computers (or workstations) to aid in the creation, modification, analysis, or optimization of a design.

More information

Software Systems Architecture

Software Systems Architecture Software Systems Architecture Working with Stakeholders Using Viewpoints and Perspectives Second Edition Nick Rozanski Eoin Woods AAddison-Wesley Upper Saddle River, NJ» Boston» Indianapolis San Francisco

More information

Durham Research Online

Durham Research Online Durham Research Online Deposited in DRO: 29 August 2017 Version of attached le: Accepted Version Peer-review status of attached le: Not peer-reviewed Citation for published item: Chiu, Wei-Yu and Sun,

More information

Health Information Technology Standards. Series Editor: Tim Benson

Health Information Technology Standards. Series Editor: Tim Benson Health Information Technology Standards Series Editor: Tim Benson Tim Benson Principles of Health Interoperability HL7 and SNOMED Second Edition Tim Benson Abies Ltd Hermitage, Thatcham Berkshire UK ISBN

More information

CHALLENGES IN PROCESSOR MODELING AND VALIDATION

CHALLENGES IN PROCESSOR MODELING AND VALIDATION Guest Editors Introduction: CHALLENGES IN PROCESSOR MODELING AND VALIDATION Pradip Bose IBM T.J. Watson Research Center Thomas M. Conte North Carolina State University Todd M. Austin Intel Corporation

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

The Test and Launch Control Technology for Launch Vehicles

The Test and Launch Control Technology for Launch Vehicles The Test and Launch Control Technology for Launch Vehicles Zhengyu Song The Test and Launch Control Technology for Launch Vehicles 123 Zhengyu Song China Academy of Launch Vehicle Technology Beijing China

More information

The Complete Guide to Game Audio

The Complete Guide to Game Audio The Complete Guide to Game Audio For Composers, Musicians, Sound Designers, and Game Developers Aaron Marks Second Edition AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO

More information

SpringerBriefs in Applied Sciences and Technology

SpringerBriefs in Applied Sciences and Technology SpringerBriefs in Applied Sciences and Technology SpringerBriefs present concise summaries of cutting-edge research and practical applications across a wide spectrum of fields. Featuring compact volumes

More information

Integrated Circuit Design

Integrated Circuit Design Integrated Circuit Design Alan F. Murray and H. Martin Reekie Integrated Circuit Design Springer Science+Business Media, LLC Alan F. Murray and H. Martin Reekie 1987 Originally published by Springer-Ver1ag

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

Running the Commercialization Rapids with New Technology

Running the Commercialization Rapids with New Technology Running the Commercialization Rapids with New Technology Margaret Lewis Software Strategy CPG Commercial Paul Teich Business Strategy CPG Server/Workstation AMD Session Outline Why Model Technology Adoption?

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Dr. Eric Bogatin (v) Windriver Dr Longmont, CO

Dr. Eric Bogatin (v) Windriver Dr Longmont, CO Dr. Eric Bogatin (v) 913-424-4333 707 Windriver Dr Longmont, CO 80504 eric@ericbogatin.com www.bethesignal.com Adjunct Professor in Electrical Computer and Energy Engineering Areas of Expertise: Signal

More information

Concepts of Parallelism In An Introductory Computer Architecture Courses With FPGA Laboratories

Concepts of Parallelism In An Introductory Computer Architecture Courses With FPGA Laboratories Concepts of Parallelism In An Introductory Computer Architecture Courses With FPGA Laboratories Sally L. Wood 1, Chris Dick 2 Abstract The introductory course in computer architecture or machine organization

More information

CS Computer Architecture Spring Lecture 04: Understanding Performance

CS Computer Architecture Spring Lecture 04: Understanding Performance CS 35101 Computer Architecture Spring 2008 Lecture 04: Understanding Performance Taken from Mary Jane Irwin (www.cse.psu.edu/~mji) and Kevin Schaffer [Adapted from Computer Organization and Design, Patterson

More information

Topic Page: Porter, Michael E. ( )

Topic Page: Porter, Michael E. ( ) Topic Page: Porter, Michael E. (1947 - ) Summary Article: Michael Eugene Porter Leading authority on competitive strategy from QFinance: The Ultimate Resource 1947 Born in Ann Arbor, Michigan. 1969 Received

More information

Creating the Right Environment for Machine Learning Codesign. Cliff Young, Google AI

Creating the Right Environment for Machine Learning Codesign. Cliff Young, Google AI Creating the Right Environment for Machine Learning Codesign Cliff Young, Google AI 1 Deep Learning has Reinvigorated Hardware GPUs AlexNet, Speech. TPUs Many Google applications: AlphaGo and Translate,

More information

Chess Skill in Man and Machine

Chess Skill in Man and Machine Chess Skill in Man and Machine Chess Skill in Man and Machine Edited by Peter W. Frey With 104 Illustrations Springer-Verlag New York Berlin Heidelberg Tokyo Peter W. Frey Northwestern University CRESAP

More information

INNOVATION & TECH TRENDS

INNOVATION & TECH TRENDS INNOVATION & TECH TRENDS Executive Program Hosted at Stanford, UC Berkeley, & Silicon Valley 1 Broadway, 14th Floor Cambridge, MA 02142 (01) 800-675-7531 www.excelorators.com COURSE OBJECTIVES Program

More information

MODERN VLSI DESIGN SOLUTION MANUAL

MODERN VLSI DESIGN SOLUTION MANUAL page 1 / 5 page 2 / 5 modern vlsi design solution pdf Design Constraints are divided into several parts Because its really a wide and important topic. I want to discuss this in detail. I have also noticed

More information

Architectures On-Demand for Any Domain Using Stable Software Patterns

Architectures On-Demand for Any Domain Using Stable Software Patterns Architectures On-Demand for Any Domain Using Stable Software Patterns FIVE DAYS SEMINAR PROPOSAL DR. MOHAMED E. FAYAD Professor of Computer Engineering Computer Engineering Dept., College of Engineering

More information

DIGITAL HERITAGE APPLYING DIGITAL IMAGING TO CULTURAL HERITAGE

DIGITAL HERITAGE APPLYING DIGITAL IMAGING TO CULTURAL HERITAGE DIGITAL HERITAGE APPLYING DIGITAL IMAGING TO CULTURAL HERITAGE Edited by Lindsay MacDonald ELSEVIER Amsterdam Boston Heidelberg London New York Oxford Paris San Diego San Francisco Singapore Sydney Tokyo

More information

Computational Principles of Mobile Robotics

Computational Principles of Mobile Robotics Computational Principles of Mobile Robotics Mobile robotics is a multidisciplinary field involving both computer science and engineering. Addressing the design of automated systems, it lies at the intersection

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

EN164: Design of Computing Systems Lecture 22: Processor / ILP 3

EN164: Design of Computing Systems Lecture 22: Processor / ILP 3 EN164: Design of Computing Systems Lecture 22: Processor / ILP 3 Professor Sherief Reda http://scale.engin.brown.edu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

Author: Yih-Yih Lin. Correspondence: Yih-Yih Lin Hewlett-Packard Company MR Forest Street Marlboro, MA USA

Author: Yih-Yih Lin. Correspondence: Yih-Yih Lin Hewlett-Packard Company MR Forest Street Marlboro, MA USA 4 th European LS-DYNA Users Conference MPP / Linux Cluster / Hardware I A Correlation Study between MPP LS-DYNA Performance and Various Interconnection Networks a Quantitative Approach for Determining

More information

The Science In Computer Science

The Science In Computer Science Editor s Introduction Ubiquity Symposium The Science In Computer Science The Computing Sciences and STEM Education by Paul S. Rosenbloom In this latest installment of The Science in Computer Science, Prof.

More information

Springer Series in Advanced Microelectronics 33

Springer Series in Advanced Microelectronics 33 Springer Series in Advanced Microelectronics 33 The Springer Series in Advanced Microelectronics provides systematic information on all the topics relevant for the design, processing, and manufacturing

More information

CELLULAR TECHNOLOGIES FOR EMERGING MARKETS

CELLULAR TECHNOLOGIES FOR EMERGING MARKETS CELLULAR TECHNOLOGIES FOR EMERGING MARKETS 2G, 3G AND BEYOND Ajay R. Mishra Nokia Siemens Networks A John Wiley and Sons, Ltd., Publication CELLULAR TECHNOLOGIES FOR EMERGING MARKETS CELLULAR TECHNOLOGIES

More information

Research Statement. Sorin Cotofana

Research Statement. Sorin Cotofana Research Statement Sorin Cotofana Over the years I ve been involved in computer engineering topics varying from computer aided design to computer architecture, logic design, and implementation. In the

More information

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

Microgrids and Other Local Area Power and Energy Systems

Microgrids and Other Local Area Power and Energy Systems Microgrids and Other Local Area Power and Energy Systems Describing the formation, integration, planning, design, and operation of microgrids, this book explains how local power and energy systems can

More information

Introduction to PLCs

Introduction to PLCs Introduction to PLCs Introduction to PLCs Jay F. Hooper Carolina Academic Press Durham, North Carolina Copyright 2005 Jay F. Hooper All Rights Reserved Library of Congress Cataloging-in-Publication Data

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

DEMIGOD DEMIGOD. characterize stalls and pop-ups during game play. Serious gamers play games at their maximum settings driving HD monitors.

DEMIGOD DEMIGOD. characterize stalls and pop-ups during game play. Serious gamers play games at their maximum settings driving HD monitors. Intel Solid-State Drives (Intel SSDs) are revolutionizing storage performance on desktop and laptop PCs, delivering dramatically faster load times than hard disk drives (HDDs). When Intel SSDs are used

More information