20. Electromagnetic Compatibility for Integrated Circuits

Size: px
Start display at page:

Download "20. Electromagnetic Compatibility for Integrated Circuits"

Transcription

1 20. Electromagnetic Compatibility for Integrated Circuits E. Sicard 1, C. Marot 2, J. Y. Fourniols 3, and M. Ramdani 4 1 INSA/DGEI, 135 Av de Rangueil Toulouse - France etienne.sicard@insa-tlse.fr 2 Siemens VDO, Toulouse - France christian.marot@at.siemens.com 3 LAAS/CNRS, Avenue Roche, Toulouse - France fourniols@laas.fr 4 ESEO, Angers, France mohamed.ramdani@eseo.fr 1. ABSTRACT The electromagnetic compatibility (EMC) community, usually concerned with noise and interference at the electronic-system level, has recently focused more attention on integrated circuits (ICs). This review concentrates upon the recent advances in microelectronics technology, the understanding of internal couplings within ICs, parasitic emission, and susceptibility to radiofrequency interference. Highlights of measurement techniques, modeling, and design approaches are also proposed in this paper. 2. INTRODUCTION The increased complexity and the speed of ultra-large-scale integrated (ULSI) circuits, together with the push for decreasing the physical interconnection and device sizes, has dramatically increased parasitic effects inside integrated circuits, as well as noise and interference due to the integrated circuit. Microprocessors have long been the driving force behind size reduction. Over the past five years, the scaling-down of technology (Figure 1) has mainly affected the minimum dimensions of the active devices on the chip, called lithography. In 2002, the lithography commonly used in industry for high-performance integrated circuits should be around 0.12 µm, with research being done on µm scale devices. The maximum complexity of integrated circuits is approaching 1 billion transistors. The semiconductor roadmap for the next 10 years is described in [ with numerous details on the technological challenges and industrial issues. From the point of view of EMC issues within the integrated circuit, increased interconnection length, resistance, and shorter signal rise times lead to a variety of parasitic behaviors and coupling phenomenon. Part 3 reviews those effects, illustrated with the scaling-down of technology. From an external point of view, the integrated circuit is one of the major sources of 453

2 454 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani noise in electronic systems. Consequently, Section 4 is dedicated to parasitic emission of integrated circuits, with emphasis on microprocessors and programmable devices. In Section 5, the susceptibility of integrated analog and logic circuits is discussed. Lithography (µm) bit 16MHz 16 bit 33MHz 16 bits 66MHz 32 bits 300MHz 32 bits 120MHz 64 bits 1GHz Industry 0.1 Research Year Figure 1. The scaling-down of technology, illustrated with high-performance microprocessors. 3. SIGNAL INTEGRITY WITHIN INTEGRATED CIRCUITS Continuous improvements in integrated-circuit technology enable complex functions to be integrated at increasingly large operating frequencies. The benefits of the very high level of integration reached in state-of-the art technologies are jeopardized by the very hard problems of signal integrity. Figure 2 shows a simulated view of a very small portion of an integrated circuit, fabricated using 0.12 µm technology [Caignet et al., 2001]. Inter-layer oxides are removed for clarity. Up to eight layers of metal interconnects may be fabricated. The interconnect section is continuously reduced with the scale-down, leading to increased resistance and propagation delay. Aluminum has been used, until recently, to manufacture interconnects. To limit the increase in propagation delay, aluminum ( ρ al = Ω/µm) has been replaced by copper ( ρ cu = Ω/µm) [ A key parameter that has an immediate impact on the electromagnetic compatibility of integrated circuits is the voltage supply, as illustrated in Figure 3. A continuous reduction of the voltage supply is observed: 5 V down to 0.5 µm, 3.3 V down to 0.35 µm, 2.5 V down to 0.25 µm, etc. The main issue is the gate oxide breakdown within MOS devices. Recently, the concept of a multiple supply has been introduced. The internal part of the integrated circuit is supplied at low voltage (around 2 V in 0.18 µm technology), while the interface structures operate with a higher standard voltage supply, 2.5 V, to keep the circuit compatible with a wide variety of peripherals.

3 20. Electromagnetic Compatibility for Integrated Circuits 455 Therefore, three standard external supply voltages exist: 5 V, 3.3 V, and 2.5 V, while on-chip regulators produce lower voltage references for internal logic. Figure 2. A three-dimensional cross-sectional view of a 0.12 µm CMOS technology with seven metal layers. Figure 3. The decrease of supply voltage with the technology scale-down. Interconnects are routed very close to their neighbors, increasing lateral parasitic coupling. Consequently, the interconnects become the main limiting factor for improved performance and reliability [Grabinski, 1998]. The two most important aspects of signal integrity within interconnects are the crosstalk effect and the delay losses. In our review, we investigate the crosstalk effect, as it is closely related to electromagnetic-compatibility issues. We review the formulations and existing measurement methods, either external or directly on-chip, to characterize this effect.

4 456 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani 3.1 CROSSTALK COUPLING 1µm 1µm Figure 4. The coupling effects between interconnects in 0.25 µm (left) and 0.12 µm (right) ICs. Crosstalk coupling represents the parasitic transient voltage induced on a victim trace from a given transient disturbance injected on an aggressor trace. Over recent years, the crosstalk effect has been the focus of active research, from an analytical, experimental, and computer-aided prediction point of view. The main reason for this interest is illustrated in Figure 4. The aspect ratio of interconnects has dramatically changed with the technology scale-down. When comparing a 0.6 µm technology and a 0.12 µm technology, we clearly observe stronger coupling effects between adjacent interconnects, due to reduced spacing, larger lateral surfaces with respect to vertical surfaces, and the proportionality to the derivative of the disturbance, which switches much faster. Far end of the victim line Aggressor Victim Aggressor Minium spacing Minium spacing L = 2000 µm Receiver Voltage (V) Aggressor Signals Far end of the Victim line Crosstalk effect Time (ns) Figure 5. The crosstalk effect in a configuration with three coupled interconnects, for a 0.12 µm CMOS technology. The consequences in terms of cross-coupling waveform are illustrated in Figure 5. When the aggressors are switching, the coupling is strong enough to increase the voltage at the far end of the victim line, up to a switching threshold of the receiver gate connected at the far end of the victim line, which may provoke a permanent logic fault.

5 20. Electromagnetic Compatibility for Integrated Circuits 457 Aggressor Victim Technology 0.70µm 0.50µm 0.35µm Alu SiO2 Probable Fault Fault 0.25µm 0.18µm 0.12µm 0 25% 50% 75% Cu Low K Crosstalk/VDD (%) 100% Low K dielectric Figure 6. The evolution of crosstalk effects with the technology generation. Other conductor When investigating the maximum crosstalk amplitude versus the technology for a given interconnect length (2 mm, in the case of Figure 6), we observe a severe increase of the coupling effect as a direct consequence of the improvements in lithography. In ultra-deep sub-micron technologies (lithography lower than 0.18 µm), the permittivity of the lateral oxide that fills the spacing between adjacent interconnects is reduced (low K), while the oxide that separates vertical layers is kept with a high permittivity. The main effect is the decrease of lateral coupling effects. The introduction of low K materials may reduce the coupling effects up to a certain limit, as illustrated in Figure 5 for 0.18 µm generation, but future technologies should again suffer from this signal-integrity issue. 3.2 CROSSTALK MODELING As the signal switching moves to operating in less than 100 ps, it becomes especially crucial to obtain accurate values for the parasitic capacitance, resistance, and inductance of interconnects. Isolated wires over a conducting plane are the easiest to consider [Sakurai, 1993], but, in reality, interconnects consist of multiple wires, sandwiched between non-regular perpendicular traces. The geometrical dimensions of interconnects have drastically changed with the technology scale-down, as reported in Table 1. The lateral capacitance may represent 70% of the global capacitance to ground. Most of the early formulations were not accurate in deep sub-micron technology. Updated estimations of the two-dimensional capacitance-to-ground, the coupling capacitance, and the inductance have been proposed by [Delorme et al., 1996], using finite-element solvers as a reference. This approach is based on numerical approaches, where the capacitance and inductance matrices between conductors are computed. The method usually consists of the discretization of conductors and space into elementary elements, and the application of specific algorithms to solve electrical or electromagnetic equations (Maxwell s, Laplace s). An illustration of the twodimensional approach for extraction of coupling parameters in a three-conductor configuration, with a complex structure of low-k and high-k dielectrics, is reported in Figure 7.

6 458 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani Table 1. Physical dimensions of interconnects versus the technology scale-down [ Technology Interconnect Width Interconnect Thickness Aspect ratio tw Interconnects Material 0.7 µm 1.6 µm 1.1 µm Al 0.35 µm 0.8 µm 0.8 µm 1 5 Al 0.25 µm 0.5 µm 0.7 µm Al 0.18 µm 0.4 µm 0.6 µm Al & Cu 0.12 µm 0.3 µm 0.5 µm Cu 0.10 µm 0.2 µm 0.4 µm 2 7 Cu 0.07 µm 0.15 µm 0.35 µm Cu Figure 7. A two-dimensional finite-element method, applied to three coupled conductors to compute the [C] capacitance and [L] inductance matrices. The two-dimensional approach is not accurate for ultra-deep sub-micron technologies, since several couplings linked to the three-dimensional structure of the interconnect network should be considered. A set of analytical formulations were introduced by [Toulouse et al., 1999] for threedimensional modeling of inter-layer capacitances. Efficiency and accuracy were guaranteed by the process-characterization approach. Alternatively, experimental approaches have been used by [Chen et al., 1998; Nouet, 1997; Soumyanath, 1998] to measure the interconnect capacitance with 15 a precision better than 1fF (10 farad). From those measurements, the authors proposed models for capacitance-to-ground and coupling capacitance. Analytical formulations of the crosstalk amplitude in deep sub-micron technology were published by [Kuhlmann et al., 1999; Tang and Friedman, 1999], based on closed-form expressions for interconnection delay, coupling, and crosstalk proposed by [Sakurai, 1993] for older technologies. These analytic formulations are useful for rapidly extracting the R, L, C values for each net and the coupling matrix between interconnect, for circuits including millions of such interconnects. Prospective approaches for modeling interconnects within deep sub-micron ICs may be found in [Walker, 2000], as well as in the special issue on interconnects [Caignet et al., 2001].

7 20. Electromagnetic Compatibility for Integrated Circuits SIGNAL INTEGRITY MEASUREMENT The measurement of deep sub-micron parasitic effects linked to signal integrity has proven difficult to perform accurately. Although the measurement of the capacitive coupling has been performed successfully by several scientists [Chen et al., 1998; Nouet, 1997; Soumyanath, 1998], the dynamic aspects of the crosstalk and delay effects could not be characterized using such methods. To characterize the signal integrity within interconnects at ultra-high frequencies, two approaches have given satisfactory results: the [S] parameter technique [Williams et al., 1998], and the on-chip sampling technique [Delmas et al., 1999; Soumyanath, 1999; Caignet et al., 2001]. On-chip sampling circuits, also called on-chip oscilloscopes, are able to precisely measure the voltage waveform of signals, from which interconnect delays and coupling effects are characterized. As the technology is scaled down, the on-chip circuit performances are improved with the same trend as for the parasitic signals being measured, which is not the case for off-chip techniques. In 0.12 µm technology, the estimated bandwidth of the on-chip system is around 50 GHz. An example of crosstalk-waveform measurement on 0.18 µm technology is reported in Figure 8 [Caignet et al., 2001]. The near ends of the 3 mm aggressors rapidly reaches logic-level 1 (around 2 V). After a certain delay (mainly due to the combination of the resistance and capacitance of the line), the far ends of the aggressor lines also rise to logic-level 1. The victim line suffers a crosstalk fluctuation of close to half the supply voltage of the circuit. The on-chip sampling gives very precise estimates of both the amplitude and duration of this effect [Steinecke, 2000]. 0.25V/div Far end of aggressor Near end of aggressor Far end of victim line 0.2ns/div Figure 8. A measurement of the crosstalk effect with an on-chip sampling oscilloscope in 0.18 µm technology. Based on the [S]-parameter techniques, the response of single or coupled lines may be characterized up to 100 GHz [Williams, 1999]. The models extracted with this method are usually based on R, L, C, G elements, as reported in Figure 9. A detailed set of conditions and error levels

8 460 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani R( f ) ( ) for the usability of, L f, RLC, or RC circuits for crosstalk modeling is given in [Deutch et al., 1999]. The values of these basic elements are usually not constant, as they start to depend upon frequency above 1 GHz. 1 R I 1 L Vz 1() L C C G Vz 1( + dz) 2 R L C C y x Vz 2( ) I 2 C G Vz 2 ( + dz ) z dz Figure 9. The modeling of a portion of a conductor using R, L, C, G elements, at very high frequencies. 4. PARASITIC EMISSIONS OF INTEGRATED CIRCUITS Low emissions are becoming a major requirement for integrated circuits, to insure electromagnetic compatibility of electronic systems. With the technology scale-down [ the supply voltage is reduced, which tends to decrease the parasitic emissions. However, the current flowing within each elementary gate does not decrease significantly, but it flows much faster. As the number of gates tends to increase, due to progress in integration, stronger values of di dt are observed, leading to increased parasitic emissions. In [Robinson et al., 1998], experimental results provided quantitative evidence that digital circuits with faster logic give higher parasitic emissions. Two main concepts are introduced: conducted emission and radiated emission. Conducted emission refers to the parasitic disturbance created by the integrated circuit that propagates through the supply lines and input/output wires. Radiated emission refers to the parasitic electromagnetic energy emitted by the integrated circuit, which propagates through the air and may couple to other circuits without any common wires. The interference noise caused by integrated circuits has three distinct origins [Hayashi and Yamada, 2000]. The first effect is the direct radiation from the die, mainly due to long supply lines with strong current flows, and due to the voltage fluctuation of the whole die, which acts as a patch antenna. In [De Smedt et al., 2000], the effect of the radiation due to the component itself was clearly distinguished from the whole emission of the printed-circuit board. The second effect is the conduction noise, due to signal transitions occurring at I/O interfaces of the chip. The third effect is the internal switching noise, mainly conducted by power and ground-supply rails and pins.

9 20. Electromagnetic Compatibility for Integrated Circuits 461 Parasitic emission level (dbµv) Supplier A FM RF GSM Not EMC compliant 60 40dB 20 10dB Supplier B Probably EMC compliant Ultimate target Frequency (MHz) Figure 10. Two integrated circuits with identical functionality and a 1 Ω conducted-mode test setup may have very different parasitic emission spectra. The characterization of the electromagnetic emission is usually presented in the frequency domain, log/log, with the frequency along the x axis, and the emission level in dbµv on the y axis. The measuring conditions, for the case in Figure 10, consist of probing the current flowing through the ground pins of the integrated circuit, using a 1 Ω serial resistance added to the ground path (see Section 4.1 for more details on the setup). For automotive applications, three frequency bands are worthy of interest: the FM band, near 100 MHz; the short-distance radio links, near 400 MHz; and the GSM mobile phone lower band, near 900 MHz (GSM also operates at 1800 MHz). In Figure 10, one microcontroller exhibits a very high level of harmonics in the three sensitive bands. Electronic systems using this component would hardly comply with EMC regulations. The same component from another supplier, pin-to-pin compatible, features a significantly lower level of emission. The role of the IC package in parasitic emission is critical. The inductance of the packaging, combined with the on-chip capacitance, create an LC resonator that explains the main harmonics within the bandwidth of MHz [Hayashi and Yamada, 2000]. The LC resonance may be observed in both the radiated and conducted modes, at approximately the same frequency. The evolution of the maximum peak of the emission for a family of microprocessors is reported in Figure 11. The measurement setup again uses the 1 Ω serial resistance to probe an image of the conducted current flowing to the ground. From the measured spectrum (in dbµv), we only keep the harmonic with the maximum peak. The global trend corresponds to an increase of the peak energy, mainly due to higher internal clock speeds, an increased number of package leads, larger chip dies, and interconnect loops.

10 462 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani Maximum peak of conducted emission (dbµv) 90dB 1.0µm 0.7µm 0.35µm 0.18µm 70dB 20 db 50dB 30dB Microcontrolers Emission reduction techniques Research 10dB Figure 11. The evolution of the maximum peak of parasitic emission with the technological evolution toward small dimensions. 4.1 METHODS FOR MEASURING PARASITIC EMISSION Recently [International Electro-Technical Commission, 1999a; 1999b], standards for measuring the emissions of integrated circuits have been proposed, based on methods developed by IC designers, IC users, and research institutes. A review and comparative study of these proposals can be found in [Lubineau et al., 1999]. Figure 12. The TEM-cell method, used to capture radiated parasitic emission from 100 KHz to 1 GHz. The TEM-cell method, used by [Muccioli et al., 1996], measures the radiated emission of the integrated circuit. This method was issued by the Society of Automotive Engineers [Society of

11 20. Electromagnetic Compatibility for Integrated Circuits 463 Automotive Engineers 1752/3, 1995] in the USA. As illustrated in Figure 12, the chip is mounted alone on the inner side of a four-layer printed-circuit board (approximately cm). Most of the surface of the board is a ground plane, except at the location of the integrated circuit. Differential-mode electromagnetic emission from a component under test is received by the septum of the TEM cell (an isolated metallic plane inside the chamber), which is connected via a coaxial cable to a spectrum analyzer. The frequency range is typically 100 khz to 1 GHz. The comparative measurement of parasitic emission of a family of integrated circuits may be conducted easily, rapidly, and at a low cost. The investigations of the theoretical basis for using this TEM cell have been published in [Muccioli et al., 1997]. In the future, the GTEM cell could be used to investigate higher frequency ranges, up to 18 GHz. A conducted-mode method [Pfaff, 1998], issued by the German group VDE, is based on a 1 Ω serial resistance added to the ground path, and 150 Ω loads on the outputs [International Electro-Technical Commission, 1999a]. The frequency range is from dc up to 1 GHz. This method provides attractive insight into all pins of the component. In the case of a very large circuit, with numerous I/O pads, the method becomes heavy and slow to conduct. Moreover, signaldegradation effects may be introduced by the test setup itself, requiring careful calibration. 2 cm 50 Ω cable Probe Frequency analyser 2 cm 7 cm Integrated circuit under test Shileding interruption 1mm Figure 13. A magnetic probe for near-field scanning close to the surface of the integrated circuit. Other methods may be found in literature. A method for scanning the near electrical fields at the surface of integrated circuits has been described in [Slatery et al., 1999]. This method has a 500 µm resolution, in order to build a detailed scan of the field emission near the surface of the die, which is intended to help IC designers improve their design strategy at the IC layout and packaging levels. A magnetic-probe method, issued by the SAE, is also part of the international standard [International Electro-Technical Commission, 1999a]. It measures the magnetic field within the range 1 MHz - 1 GHz. The probe is 20 mm in diameter, with an electrostatic screen to avoid the electrical field (Figure 13). The Workbench Faraday Cage method, also proposed in [International Electro-Technical Commission, 1999a], consists of placing the IC and its test board in a small Faraday cage, with all inputs/outputs fed through the box via coaxial connectors, and loaded with 150 Ω resistance to ground, representing the average common-mode load in the final application. 4.2 MODELING OF PARASITIC EMISSION The first step in the modeling of parasitic emission has been the input/output-buffer specification [ also called IBIS, used to specify and predict the parasitic

12 464 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani fluctuations provoked by the switching of on-chip buffers. The IBIS description includes active devices, represented by tabulated IV sources, and a description of the packaging based on R, L, and C parameters. Unfortunately, the supply lines are not considered in IBIS, which jeopardizes its use for parasitic-emission modeling. An alternative approach, proposed by [Canavero et al., 2001], consists of modeling the behavior of switching I/Os using so-called black boxes, which reproduce, in a very accurate way, the non-linear characteristics of the signals issued from the integrated circuit. The Electronic Industry Association of Japan (EIAJ) proposed [ a more physical approach for IC modeling, including the supply network that was ignored by IBIS. Despite these improvements, IMIC fails to describe internal-current sources that are responsible for core noise. The modeling of conducted emission requires a precise knowledge of the core switching. It is necessary to perform a circuit simulation to obtain current waveforms outgoing from the chip to the power and ground-supply pins [Hayashi and Yamada, 2000]. Several approaches are applicable to obtaining the time-domain current waveforms for the main current sources of integrated circuits, focusing mainly on high-frequency clocked signals. For small blocks (phaselocked loop, clock tree), a SPICE simulation may be conducted. However, for large blocks, such as control-process units or signal-processing units, the amount of physical data is so large that specific algorithms are mandatory. Some tools, like POWERMILL, use tabulated MOS characteristics and simple RC models to speed up the simulation, neglecting coupling and ground-bounce. The current estimation for blocks with a complexity up to one million devices may be obtained using this tool. For very complex integrated circuits, the combination of a logic simulator and a current-peak library may produce reliable switching-current waveforms. The switching current is characterized for each logic cell of the library, with typical control slew-rates and load conditions. The methodology for power-network and switching-current modeling [Hayashi and Yamada, 2000] seems very promising for conducted-emission prediction,. The suggested approach focuses on the extraction and simplification of the switching current to periodic triangular waveforms, combined with the on-chip decoupling capacitance and package inductance. Predicted spectra are nicely correlated with experimental data for a set of complex integrated circuits. In contrast, research efforts are still mandatory to accurately predict the radiated emission of integrated circuits. The coupling between the device under test and the TEM cell remains unclear. However, methods for electromagnetic-interference prediction in the radiated mode should appear in the near future. 4.3 REDUCTION TECHNIQUE FOR LOW PARASITIC EMISSION As a low parasitic emission can represent a significant commercial argument for choosing an integrated circuit, several approaches for reducing parasitic emission at the chip level have recently been discussed [John et al., 2000; Mardiguian, 2001]. A promising approach is the use of absorbent materials at the package level [Kim et al., 2000], under the condition of covering the die region and both sides of the package lead frame, with an expected gain of up to 20 db.

13 20. Electromagnetic Compatibility for Integrated Circuits 465 Various design strategies have proven efficient for reducing the parasitic emission by several db for microcontrollers [Steinecke, 2000; Hayashi and Yamada, 2000] and specific test chips [Van Wershoven, 2000]. Effective techniques are concerned with the on-chip decoupling capacitance, the current-limiting choke resistance, and supply-network optimization. Distributing decoupling capacitors close to main current sources (CPU, clock drivers, PLLs), in combination with currentlimiting resistors in series on the supply lines, turned out to reduce the peak harmonics by db. Other techniques for reducing the parasitic emission, as suggested in [De Smedt et al., 2000] concerned the use of low-swing clock signals, non-simultaneous buffer switching by the addition of small RC delays, and careful cancellation of currents by parallel routing of supply and ground lines inside the chip. 5. SUSCEPTIBILITY OF INTEGRATED CIRCUITS Susceptibility to radio-frequency (RF) interference is becoming a major concern for integrated circuits, with the proliferation of powerful parasitic sources such as mobile phones, high-speed networks, and wireless systems. Meanwhile, the noise margin of integrated circuits tends to be reduced as a consequence of lower supply voltages. The devices may receive interference that is superimposed on the intentional signals, causing rectification, intermodulation, cross-modulation, or other disturbances. The external wires, cables, and even the printed-circuit-board traces may work as antennae, and propagate the captured radio-frequency noise to the integrated circuit. Susceptibility relates both to analog and digital components. However, most of the research work in the past three years has concerned analog devices. We detail, in the following paragraphs, the approaches for the characterization of susceptibility at the single device, analog block, and digital circuit levels. 5.1 SINGLE DEVICE SUSCEPTIBILITY TO RF Studies of the distortion due to radio-frequency interference (RFI) in elementary MOS and bipolar devices have been conducted by [Sansen, 1999] and [Fiori and Pozzolo, 2000]. A radiofrequency voltage, applied to one termination of the device, induces a variation in its characteristics, as illustrated in Figure 14. The normal dependence of the drain current,, on the drain-to-source voltage, V, for varying gate voltage, V, is represented in the upper-left part of ds Figure 14. The measurement is purely static. When adding a RF voltage to the normal polarization voltage, V, we observe a significant change in the I V characteristics. One reason for this gs parasitic effect is the nonlinear dependence of V on the current. In [Hayashi et al., 1998], the technique of harmonic balance was proposed for analyzing the undesired dc shift under large RF injection. A modified version of the Gummel-Poon bipolar device was also proposed by [Fiori and Pozzolo, 2000], to achieve the same goal. gs ds gs ds I d 5.2 SUSCEPTIBILITY OF ANALOG BLOCKS Good reference voltages and high-performance operational amplifiers are required in most integrated circuits, for on-chip voltage regulation, analog-to-digital and digital-to-analog

14 466 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani conversion, filtering, and amplification. Therefore, the operating-point upset induced by radiofrequency interference should be minimal. The bandgap voltage reference has been analyzed by [Fiori et al., 2000], showing an important dc offset the amplitude of which increases with interference amplitude and frequency. The main contribution to the parasitic offset was the input stage of the differential amplifier, driven simultaneously by common- and differential-mode noise, acting as a mixer. Similar behavior was observed by [Baudet et al., 1998], with offset voltages generated at the output ports due to a combination of two mechanisms: the feedback loop falling effect and the unbalanced behavior of the differential stage of the amplifier, when excited by an RF source above the cutoff frequency of the circuit. Ids Normal Vgs Ids With radio-frequency interference Vgs Vds Vds RF source Supply 1 Coupler Inductor Vgs Vds Device under test Supply 2 Load Figure 14. Susceptibility analysis for a single device, and the observed shift in static characteristics. 5.3 DIGITAL CIRCUIT SUSCEPTIBILITY TO RF Programmable-processor-based circuits, like microcontrollers, are prone to corruption by fast transients, resulting in erroneous logic states that may lead to embedded software errors and, ultimately, a loss of functionality. In the previous sections, microcontrollers have been regarded as parasitic-emission sources. Some authors also consider these devices as potential victims of electromagnetic disturbances, within a large range of frequencies (100 khz up to 1 GHz). The susceptibility of 8-bit and 16-bit microcontrollers has been evaluated [Kucinski and Kolodziejski, 2000] and [Habiger and Vick, 2000]. The device-immunity threshold was determined for each frequency within the range 100 khz to 1 GHz. Susceptibility against electromagnetic disturbances proved to be a time-variant phenomenon, since the threshold changed significantly depending on the operational function of the microcontroller. In other words, the susceptibility depended on the micro-instructions, and the activity on the system bus. Evidence of coupling between the injection port and the supply was also observed. When the injected RF signal was connected to the input ports, a correlated noise appeared in the supplyspectrum characteristics and at the circuit output.

15 20. Electromagnetic Compatibility for Integrated Circuits MEASUREMENT OF SUSCEPTIBILITY When starting to design an item of equipment, information about the susceptibility threshold of its components is essential to ensure that appropriate components have been selected and that electromagnetic compatibility is guaranteed. In order to ascertain these thresholds, reliable and simple measurement methods are mandatory. Although no EMI regulation is defined for integrated circuits alone, measurement methods are under standardization within the International Electro-technical Commission (IEC), Committee 47A, Working Group 9, in charge of proposing standards for measuring emission and susceptibility of integrated circuits. The susceptibility standards are referenced under Project Number IEC [International Electro-Technical Commission, 1999b]. The parameters, the general setup, and the conditions for the different tests are described in Part 1. Part 2 defines a method to measure the IC susceptibility level with capacitance coupling, directly on the integrated circuit. The test setup uses a specific printedcircuit board, on which the device under test is placed. The injection is performed through a coupling capacitance, operating within the frequency band of 10 khz to 1 GHz. This method has already been applied to the characterization of susceptibility of a microcontroller by [Fiori, 2000]. In Part 3 of the standard, a method for measuring the IC susceptibility level in common mode is detailed. The test setup is based on a workbench Faraday cage (WBFC), inside which the integrated circuit under test is placed. The printed-circuit board is also inside the cage. The WBFC method considers that ICs are principally reached from interference collected by cables that are directly connected to the PCB. The RF energy is communicated via a 150 Ω resistance. The susceptibility is measured by checking the state of I/Os, outside the cage. The measurement test setup operates within the frequency range 150 khz to 1 GHz. According to [Fiori, 2000], the WBFC method may not reveal functional errors during the susceptibility test if the test board inside the cage has been designed to reduce common-mode noise. By pass filter injection and measurement probes Peripherial components I device under test Optical interface 20cm ground plane Shielded room H.F Voltmeter Control tool fiber optic link H.F Ampli H.F Generator Figure 15. The setup for susceptibility analysis using the bulk-current-injection method.

16 468 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani Finally, a method to measure the IC susceptibility level with inductive coupling on wires connected directly to the integrated circuit was proposed in Part 4. The measurement is valid within the frequency range of 15 khz to 400 MHz. The method consists of injecting a current using a magnetic probe on the input or output of the integrated circuit (Figure 15). A fiber-optic link is used to monitor the output signals. In the event of defects on these signals, the reading of the injected current provides the susceptibility threshold. i RF RF Decoupling 12V protection 100nF L 4949 VIN VOUT SI SO Vx RESET Ct GND Optical control 5V Decoupling Typical load Electrical ground I RF (dbma) Frequency MHz Figure 16. Experimental results of the susceptibility of a voltage regulator with RF injection on the supply line, from 10 to 400 MHz. The measurement reported in Figure 16 shows the value of the current, i RF, injected on the supply pin of the regulator, which provokes an undesired activity on the reset, with a frequency varying from 15 khz to 400 MHz. The result reported in Figure 16 shows a decrease of the injected current, i RF, up to 350 MHz. This means that the device is particularly sensitive to RF noise around 350 MHz. The link with the physical characteristics of the device is still not clearly established. 6. CONCLUSION Current research in electromagnetic compatibility for integrated circuits is probing the numerous issues linked to the progresses in the technology scale-down and increased radio

17 20. Electromagnetic Compatibility for Integrated Circuits 469 interference. This review has addressed some of the recent advances in the measurement and modeling of parasitic emissions and susceptibility to radio-frequency noise. However, all topics related to EMC for integrated circuits were not covered. The main EMC conferences regularly propose special sessions on devices and circuits, providing a continuous source of research advances and improvements. Measurement methods for both emission and susceptibility are in the standardization phase. From the point of view of emission, complete design flows including current-source characterization, internal-supply modeling, and package modeling represent a major step forward for the prediction of parasitic emission from integrated circuits during the design phase, before fabrication. Simple and standard models should be proposed for and should be capable of simulating the conducted and radiated emission, similar to what already exists regarding input/output description and behavior. The research on susceptibility has mainly been carried out for single devices or smallcomplexity analog blocks. Expertise on large-logic or mixed integrated circuits is needed, and it is to be expected that novel design guidelines, hardware, and software techniques will be developed to reduce the susceptibility to radio-frequency interference in the near future. With the expansion of wireless communications, and continuous advances in microelectronic circuit performance, an improvement in the knowledge of high-frequency modeling, circuit, and package-complexity reduction, as well as radiation mechanisms is needed to achieve the electromagnetic compatibility of integrated circuits in the future. 7. ACKNOWLEDGEMENTS The authors wish to thank Marc Lubineau, Sebastien Calvet, and colleagues from the IERSET EMC for ICs project, as well as the MEDEA A-408 partners for their significant contribution and helpful comments. They would also like to thank the reviewers for their helpful comments and suggestions. 8. REFERENCES J. Baudet, O. Druant, and B. Demoulin [1998], Effects of Electromagnetic Interference of High Amplitude on FET-Differential Amplifier in the Frequency Range of 100 MHz-1 GHz, in International Symposium on Electromagnetic Compatibility EMC 98 ROMA, pp F. G. Canavero, I.A. Maio, and I. S. Stievano [2001], Black Box Models of Digital IC Ports for EMC Simulation, in International Symposium on EMC, Zurich, pp J. C. Chen, D. Sylvester, and C. Hu [1998], An On-Chip Interconnect Capacitance Characterization Method with Sub-Femto Farad Resolution, IEEE Transactions on Semiconductor Manufacturing, 40, pp F. Caignet, S. Delmas, and E. Sicard [2001], The Challenge of Signal Integrity in Deep Sub- Micron CMOS Technology, Proceedings of the IEEE, 86, 4, pp S. Delmas, E. Sicard, and F. Caignet [1999], A Novel Technique for the Dynamic Measurements

18 470 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani of Crosstalk Induced Delay in CMOS Integrated Circuits, IEEE Trans. on Electromagnetic Compatibility, 41, 4, pp R. De Smedt, S. Criel, F. Bonjean, G. Spildooren, G. Monier, B. Demoulin, and J. Baudet [2000], TEM Cell Measurement of an Active EMC Test Chip, in Proceedings of the IEEE International Symposium on EMC, Washington DC, USA, pp N. Delorme, M.Belleville, and J. Chilo [1996], Inductance and Capacitance Analytic Formulas for VLSI Interconnects, Electronics Letters, 32, 11, pp A. Deutch, H. Smith, C. W. Surovic, and G. V. Kopcsay [1999], Frequency Dependent Crosstalk Simulation for On-Chip Interconnections, IEEE Trans. Adv. Packaging, 22, 4, pp F. Fiori and V. Pozzolo [2000], Modified Gummel-Poon Model for Susceptibility Prediction, IEEE Transactions on EMC, 42, 2, pp F. Fiori, S. Sattamino, and V. Pozzolo [2000], Susceptibility of a Band-Gap Circuit to Conducted RF Interference, in International Symposium on Electromagnetic Compatibility EMC 2000, Brugges, pp F. Fiori [2000], Integrated Circuits Immunity Evaluation By Different Test Procedures, in Proceedings of the International Symposium on Electromagnetic Compatibility EMC 2000, Brugges, pp H. Grabinski [1998], Signal Propagation on Interconnects, Nordrecht, Kluwer Academic Publishers. E. Habiger and R. Vick [2000], Evaluations of Micro-Controller Susceptibility to Impulsive Electromagnetic Disturbances in International Symposium on Electromagnetic Compatibility EMC 2000, Brugges, pp H. Hayashi, H. Tadano, Y. Hattori, T. Kato, and H. Nagase [1998], Harmonic Balance Simulation of RF Injection Effects in Analog Circuits, IEEE Transactions on Electromagnetic Compatibility, 40, 2, pp S. Hayashi and M. Yamada [2000], EMI Noise Analysis under ASIC Design Environment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19, 11, pp International Electro-Technical Commission [1999a], IEC 61967: Integrated Circuits, Measurements of Conducted and Radiated Electromagnetic Emission, IEC Standard. International Electro-Technical Commission [1999b], IEC 62132: Integrated Circuits, Measurements of Susceptibility, IEC Standard. W. John, T. Steinecke, H. Kohne, and R. Niebauer [2000], Methodology for EME Reduction on Chip Level, in Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, pp

19 20. Electromagnetic Compatibility for Integrated Circuits M. Kuhlmann, S. Sapatnekar, and K. Pahi [1999], Efficient Crosstalk Estimation, in IEEE International Conference on Computer Design Proceedings, pp S. Kucinski and J. F. Kolodziejski [2000], Comparative Study of Micro-Controllers Electromagnetic Emission and Their Immunity, in International Symposium on Electromagnetic Compatibility EMC 2000, Brugges, pp M. Lubineau, E. Sicard, C. Huet, J. C. Pourtau, S. Ollitrault, and C. Marot [1999], On the Measurement of EMC in Integrated Circuits, in Proceedings of the 13 th International EMC Symposium, Zurich, pp M. Mardiguian [2001], Controlling Radiated Emissions By Design, Second Edition, Boston, Kluwer Academic Publishers, pp S. Kim, S. Lee, K. Ouh, and C. Rim [2000], Reduction of Radiated Emissions from Semiconductor By Using Absorbent Materials, in Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, pp J. P. Muccioli, T. North, and K. Slattery [1996], Investigations of the Theoretical Basis for Using a 1 GHz TEM Cell to Evaluate the Radiated Emissions from Integrated Circuits, in Proceedings of the IEEE International Symposium on Electromagnetic Compatibility, pp J. P. Muccioli, T. North, and K. Slattery [1997], Characterization of the RF Emissions from a Family of Microprocessors Using a 1 GHz TEM Cell, in Proceedings of the IEEE EMC Symposium, Austin. P. Nouet [1997], Use of Test Structures for Characterization and Modeling of Capacitances in a CMOS Process, IEEE Trans. Semiconductor Manufacturing, 10, 2. W. R. Pfaff [1998], Application Independent Evaluation of Electromagnetic Emission for ICs By the Measurement of Conducted Signal, in Proceedings of the IEEE International Symposium on EMC, pp M. P. Robinson, T. M. Benson, and C. Christopoulos [1998], Effect of Logic Family on Radiated Emissions from Digital Circuits, IEEE Transactions on Electromagnetic Compatibility, 40, 3, pp Society of Automotive Engineers 1752/3 [1995], Electromagnetic Compatibility Measurement Procedures for Integrated Circuits Radiated Emissions Measurements Procedure 150 khz to 1 GHz, TEM Cell. W. Sansen [1999], Distortion in Elementary Transistor Circuits IEEE Transactions on Circuits and Systems II, 46, 3, pp T. Sakurai and K. Tamaru [1983], Simple Formulas for 2 and 3-D Capacitances, IEEE Transactions on Electron Devices, ED-30, pp

20 472 E. Sicard, C. Marot, J. Y. Fourniols, and M. Ramdani T. Sakurai [1993], Closed-Form Expressions for Interconnect Delay, Coupling and Crosstalk in VLSI s, IEEE Transactions on Electron Devices, ED-40, 1, pp K. P. Slatery, J. Neal, and W. Cui [1999], Near-Field Measurements of VLSI Devices, IEEE Transactions on EMC, 41, 4, pp K. Soumyanath [1998], Use of Test Structures for Characterization and Modeling of Inter and Intra-Layer Capacitances in a CMOS Process, IEEE Trans. on Semiconductor Manufacturing, 34, pp K. Soumyanath [1999], Accurate On-Chip Interconnect Evaluation: A Time-Domain Technique, IEEE Journal of Solid-State-Circuits, 34, 5, pp T. Steinecke [2000], Experimental Characterization of Switching Noise and Signal Integrity in Deep Sub-Micron ICs, in Proceedings of the IEEE International Symposium on EMC, pp A. Toulouse, D. Bernard, C. Landrault, and P. Nouet [1999], Efficient 3D Modelling for Extraction of Interconnect Capacitances in Deep Submicron Dense Layouts, in Design, Automation and Test in Europe DATE 1999, pp K. Tang and E. Friedman [1999], Peak Crosstalk Noise Estimation in CMOS VLSI Circuits, in Proceedings of the IEEE International Conference on Electronic Circuits and Systems, pp L. Van Wershoven [2000], Characterization of an EMC Test Chip, in Proceedings of the International Symposium on EMC, Brugges, pp D. F. Williams, U. Arz, and H. Grabinski [1998], Accurate Characteristic Impedance Measurement on Silicon, in Digest of the IEEE International Microwave Symposium MTT-S, pp D. F. Williams [1999], Metal-Insulator-Silicon Transmission Lines, IEEE Trans. on Microwave Theory and Techniques, MTT-47, 2, pp M. D. Walker [2000], Modeling the Wiring of Deep-Submicron ICs, IEEE Spectrum, 37, 3, pp

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Using ICEM Model Expert to Predict TC1796 Conducted Emission Using ICEM Model Expert to Predict TC1796 Conducted Emission E. Sicard (1), L. Bouhouch (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) ESTA Agadir, Morroco Contact : etienne.sicard@insa-toulouse.fr

More information

Modeling the Radiated Emission of Micro-controllers

Modeling the Radiated Emission of Micro-controllers Modeling the Radiated Emission of Micro-controllers Etienne Sicard etienne.sicard@insa-tlse.fr http://intrage.insa-tlse.fr/~etienne Christian MAROT André PEYRE LAVIGNE Claude HUET Etienne SICARD AUTOMOTIVE

More information

Advances on the ICEM model for Emission of Integrated Circuits

Advances on the ICEM model for Emission of Integrated Circuits Advances on the ICEM model for Emission of Integrated Circuits Sébastien Calvet sebastien.calvet@motorola.com sebastien.calvet@insa-tlse.fr http://intrage.insa-tlse.fr/~etienne Christian MAROT André PEYRE

More information

Characterization of Integrated Circuits Electromagnetic Emission with IEC

Characterization of Integrated Circuits Electromagnetic Emission with IEC Characterization of Integrated Circuits Electromagnetic Emission with IEC 61967-4 Bernd Deutschmann austriamicrosystems AG A-8141 Unterpremstätten, Austria bernd.deutschmann@ieee.org Gunter Winkler University

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site : MPC 5534 Case study E. Sicard (1), B. Vrignon (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) Freescale Semiconductors, Toulouse, France Contact : etienne.sicard@insa-toulouse.fr web site

More information

Experimental Investigation of High-Speed Digital Circuit s Return Current on Electromagnetic Emission

Experimental Investigation of High-Speed Digital Circuit s Return Current on Electromagnetic Emission Proceedings of MUCEET2009 Malaysian Technical Universities Conference on Engineering and Technology June 20-22, 2009, MS Garden,Kuantan, Pahang, Malaysia MUCEET2009 Experimental Investigation of High-Speed

More information

Introduction to deep-submicron CMOS circuit design

Introduction to deep-submicron CMOS circuit design National Institute of Applied Sciences Department of Electrical & Computer Engineering Introduction to deep-submicron CMOS circuit design Etienne Sicard http:\\intrage.insa-tlse.fr\~etienne 1 08/09/00

More information

Course Introduction. Content 16 pages. Learning Time 30 minutes

Course Introduction. Content 16 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn what EMI is and

More information

Modelling electromagnetic field coupling from an ESD gun to an IC

Modelling electromagnetic field coupling from an ESD gun to an IC Modelling electromagnetic field coupling from an ESD gun to an IC Ji Zhang #1, Daryl G Beetner #2, Richard Moseley *3, Scott Herrin *4 and David Pommerenke #5 # EMC Laboratory, Missouri University of Science

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Analogue circuit design for RF immunity

Analogue circuit design for RF immunity Analogue circuit design for RF immunity By EurIng Keith Armstrong, C.Eng, FIET, SMIEEE, www.cherryclough.com First published in The EMC Journal, Issue 84, September 2009, pp 28-32, www.theemcjournal.com

More information

EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL

EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL 1 EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL A. Boyer 1,2, B. Vrignon 3, J. Shepherd 3, M. Cavarroc 1,2 1 CNRS, LAAS, 7 avenue du colonel Roche, F-31400 Toulouse, France

More information

Course Introduction Purpose Objectives Content Learning Time

Course Introduction Purpose Objectives Content Learning Time Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn about a method

More information

Predicting Module Level RF Emissions from IC Emissions Measurements using a 1 GHz TEM or GTEM Cell A Review of Related Published Technical Papers 1

Predicting Module Level RF Emissions from IC Emissions Measurements using a 1 GHz TEM or GTEM Cell A Review of Related Published Technical Papers 1 Predicting Module Level RF Emissions from IC Emissions Measurements using a 1 GHz TEM or GTEM Cell A Review of Related Published Technical Papers 1 Jame P. Muccioli, Jastech EMC Consulting, LLC, P.O. Box

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

ELEC 0017: ELECTROMAGNETIC COMPATIBILITY LABORATORY SESSIONS

ELEC 0017: ELECTROMAGNETIC COMPATIBILITY LABORATORY SESSIONS Academic Year 2015-2016 ELEC 0017: ELECTROMAGNETIC COMPATIBILITY LABORATORY SESSIONS V. BEAUVOIS P. BEERTEN C. GEUZAINE 1 CONTENTS: EMC laboratory session 1: EMC tests of a commercial Christmas LED light

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Design for EMI & ESD compliance DESIGN FOR EMI & ESD COMPLIANCE

Design for EMI & ESD compliance DESIGN FOR EMI & ESD COMPLIANCE DESIGN FOR EMI & ESD COMPLIANCE All of we know the causes & impacts of EMI & ESD on our boards & also on our final product. In this article, we will discuss some useful design procedures that can be followed

More information

ELECTROMAGNETIC COMPATIBILITY HANDBOOK 1. Chapter 8: Cable Modeling

ELECTROMAGNETIC COMPATIBILITY HANDBOOK 1. Chapter 8: Cable Modeling ELECTROMAGNETIC COMPATIBILITY HANDBOOK 1 Chapter 8: Cable Modeling Related to the topic in section 8.14, sometimes when an RF transmitter is connected to an unbalanced antenna fed against earth ground

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Todd Hubing. Clemson University. Cabin Environment Communication System. Controls Airbag Entertainment Systems Deployment

Todd Hubing. Clemson University. Cabin Environment Communication System. Controls Airbag Entertainment Systems Deployment Automotive Component Measurements for Determining Vehicle-Level Radiated Emissions Todd Hubing Michelin Professor of Vehicular Electronics Clemson University Automobiles are Complex Electronic Systems

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Freescale Semiconductor, I

Freescale Semiconductor, I Order this document by /D Noise Reduction Techniques for Microcontroller-Based Systems By Imad Kobeissi Introduction With today s advancements in semiconductor technology and the push toward faster microcontroller

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Localization and Identifying EMC interference Sources of a Microwave Transmission Module

Localization and Identifying EMC interference Sources of a Microwave Transmission Module Localization and Identifying EMC interference Sources of a Microwave Transmission Module Ph. Descamps 1, G. Ngamani-Njomkoue 2, D. Pasquet 1, C. Tolant 2, D. Lesénéchal 1 and P. Eudeline 2 1 LaMIPS, Laboratoire

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Application Note # 5438

Application Note # 5438 Application Note # 5438 Electrical Noise in Motion Control Circuits 1. Origins of Electrical Noise Electrical noise appears in an electrical circuit through one of four routes: a. Impedance (Ground Loop)

More information

Design for Guaranteed EMC Compliance

Design for Guaranteed EMC Compliance Clemson Vehicular Electronics Laboratory Reliable Automotive Electronics Automotive EMC Workshop April 29, 2013 Design for Guaranteed EMC Compliance Todd Hubing Clemson University EMC Requirements and

More information

Internal Model of X2Y Chip Technology

Internal Model of X2Y Chip Technology Internal Model of X2Y Chip Technology Summary At high frequencies, traditional discrete components are significantly limited in performance by their parasitics, which are inherent in the design. For example,

More information

EMC of Analog Integrated Circuits

EMC of Analog Integrated Circuits Jean-Michel Redoute Michiel Steyaert EMC of Analog Integrated Circuits ^J Springer Contents 1. INTRODUCTION 1 1 The pioneers of wireless communication 1 2 Evolution of awareness of electromagnetic compatibility

More information

EMC review for Belle II (Grounding & shielding plans) PXD DEPFET system

EMC review for Belle II (Grounding & shielding plans) PXD DEPFET system EMC review for Belle II (Grounding & shielding plans) PXD DEPFET system Outline 1. Introduction 2. Grounding strategy Implementation aspects 3. Noise emission issues Test plans 4. Noise immunity issues

More information

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University Essential New Tools for EMC Diagnostics and Testing Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University Where is Clemson University? Clemson, South Carolina, USA Santa Clara Valley

More information

EMI Modeling of a 32-bit Microcontroller in Wait Mode

EMI Modeling of a 32-bit Microcontroller in Wait Mode EMI Modeling of a 32-bit Microcontroller in Wait Mode Jean-Pierre Leca 1,2, Nicolas Froidevaux 1, Henri Braquet 2, Gilles Jacquemod 2 1 STMicroelectronics, 2 LEAT, UMR CNRS-UNS 6071 BMAS 2010 San Jose,

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

An alternative approach to model the Internal Activity of integrated circuits.

An alternative approach to model the Internal Activity of integrated circuits. An alternative approach to model the Internal Activity of integrated circuits. N. Berbel, R. Fernández-García, I. Gil Departament d Enginyeria Electrònica UPC Barcelona Tech Terrassa, SPAIN nestor.berbel-artal@upc.edu

More information

Electrical Characterization of a 64 Ball Grid Array Package

Electrical Characterization of a 64 Ball Grid Array Package EMC Europe - Hamburg, 8 th September 008 Summary Electrical Characterization of a 64 Ball Grid Array A. Boyer (), E. Sicard (), M. Fer (), L. Courau () () LATTIS - INSA of Toulouse - France () ST-Microelectronics

More information

Overview of the ATLAS Electromagnetic Compatibility Policy

Overview of the ATLAS Electromagnetic Compatibility Policy Overview of the ATLAS Electromagnetic Compatibility Policy G. Blanchot CERN, CH-1211 Geneva 23, Switzerland Georges.Blanchot@cern.ch Abstract The electromagnetic compatibility of ATLAS electronic equipments

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

BIRD 74 - recap. April 7, Minor revisions Jan. 22, 2009

BIRD 74 - recap. April 7, Minor revisions Jan. 22, 2009 BIRD 74 - recap April 7, 2003 Minor revisions Jan. 22, 2009 Please direct comments, questions to the author listed below: Guy de Burgh, EM Integrity mail to: gdeburgh@nc.rr.com (919) 457-6050 Copyright

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Understanding and Optimizing Electromagnetic Compatibility in Switchmode Power Supplies

Understanding and Optimizing Electromagnetic Compatibility in Switchmode Power Supplies Understanding and Optimizing Electromagnetic Compatibility in Switchmode Power Supplies 1 Definitions EMI = Electro Magnetic Interference EMC = Electro Magnetic Compatibility (No EMI) Three Components

More information

Progress In Electromagnetics Research, Vol. 119, , 2011

Progress In Electromagnetics Research, Vol. 119, , 2011 Progress In Electromagnetics Research, Vol. 119, 253 263, 2011 A VALIDATION OF CONVENTIONAL PROTECTION DEVICES IN PROTECTING EMP THREATS S. M. Han 1, *, C. S. Huh 1, and J. S. Choi 2 1 INHA University,

More information

Measurement and Analysis for Switchmode Power Design

Measurement and Analysis for Switchmode Power Design Measurement and Analysis for Switchmode Power Design Switched Mode Power Supply Measurements AC Input Power measurements Safe operating area Harmonics and compliance Efficiency Switching Transistor Losses

More information

Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations

Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations Author Lu, Junwei, Zhu, Boyuan, Thiel, David Published 2010 Journal Title I E E E Transactions on Magnetics DOI https://doi.org/10.1109/tmag.2010.2044483

More information

Design of EMI Filters for DC-DC converter

Design of EMI Filters for DC-DC converter Design of EMI Filters for DC-DC converter J. L. Kotny*, T. Duquesne**, N. Idir** Univ. Lille Nord de France, F-59000 Lille, France * USTL, F-59650 Villeneuve d Ascq, France ** USTL, L2EP, F-59650 Villeneuve

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Top Ten EMC Problems

Top Ten EMC Problems Top Ten EMC Problems presented by: Kenneth Wyatt Sr. EMC Consultant EMC & RF Design, Troubleshooting, Consulting & Training 10 Northern Boulevard, Suite 1 Amherst, New Hampshire 03031 +1 603 578 1842 www.silent-solutions.com

More information

CHAPTER 6 EMI EMC MEASUREMENTS AND STANDARDS FOR TRACKED VEHICLES (MIL APPLICATION)

CHAPTER 6 EMI EMC MEASUREMENTS AND STANDARDS FOR TRACKED VEHICLES (MIL APPLICATION) 147 CHAPTER 6 EMI EMC MEASUREMENTS AND STANDARDS FOR TRACKED VEHICLES (MIL APPLICATION) 6.1 INTRODUCTION The electrical and electronic devices, circuits and systems are capable of emitting the electromagnetic

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

PIEZOELECTRIC TRANSFORMER FOR INTEGRATED MOSFET AND IGBT GATE DRIVER

PIEZOELECTRIC TRANSFORMER FOR INTEGRATED MOSFET AND IGBT GATE DRIVER 1 PIEZOELECTRIC TRANSFORMER FOR INTEGRATED MOSFET AND IGBT GATE DRIVER Prasanna kumar N. & Dileep sagar N. prasukumar@gmail.com & dileepsagar.n@gmail.com RGMCET, NANDYAL CONTENTS I. ABSTRACT -03- II. INTRODUCTION

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Susceptibility of the Crystal Oscillator to Sinusoidal Signals over Wide Radio Frequency Range

Susceptibility of the Crystal Oscillator to Sinusoidal Signals over Wide Radio Frequency Range Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Susceptibility of the Crystal Oscillator to Sinusoidal Signals over Wide Radio Frequency Range Tao SU, Hanyu ZHENG, Dihu

More information

Verifying Simulation Results with Measurements. Scott Piper General Motors

Verifying Simulation Results with Measurements. Scott Piper General Motors Verifying Simulation Results with Measurements Scott Piper General Motors EM Simulation Software Can be easy to justify the purchase of software packages even costing tens of thousands of dollars Upper

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

CMOS circuit design Simulator in hands

CMOS circuit design Simulator in hands Deep-submicron CMOS circuit design Simulator in hands Etienne Sicard Sonia Delmas Bendhia Version 1.1 1 05/04/03 Acknowledgements Jean-Pierre Schoellkopf, Joseph-Georges Ferrante, Amaury Soubeyran, Thomas

More information

Chapter 5 Electromagnetic interference in flash lamp pumped laser systems

Chapter 5 Electromagnetic interference in flash lamp pumped laser systems Chapter 5 Electromagnetic interference in flash lamp pumped laser systems This chapter presents the analysis and measurements of radiated near and far fields, and conducted emissions due to interconnects

More information

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Dr. Marco KLINGLER PSA Peugeot Citroën Vélizy-Villacoublay, FRANCE marco.klingler@mpsa.com FR-AM-5 Background The automotive context

More information

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

EM Noise Mitigation in Electronic Circuit Boards and Enclosures EM Noise Mitigation in Electronic Circuit Boards and Enclosures Omar M. Ramahi, Lin Li, Xin Wu, Vijaya Chebolu, Vinay Subramanian, Telesphor Kamgaing, Tom Antonsen, Ed Ott, and Steve Anlage A. James Clark

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Technology in Balance

Technology in Balance Technology in Balance A G1 G2 B Basic Structure Comparison Regular capacitors have two plates or electrodes surrounded by a dielectric material. There is capacitance between the two conductive plates within

More information

Signal and Noise Measurement Techniques Using Magnetic Field Probes

Signal and Noise Measurement Techniques Using Magnetic Field Probes Signal and Noise Measurement Techniques Using Magnetic Field Probes Abstract: Magnetic loops have long been used by EMC personnel to sniff out sources of emissions in circuits and equipment. Additional

More information

Overview of EMC Regulations and Testing. Prof. Tzong-Lin Wu Department of Electrical Engineering National Taiwan University

Overview of EMC Regulations and Testing. Prof. Tzong-Lin Wu Department of Electrical Engineering National Taiwan University Overview of EMC Regulations and Testing Prof. Tzong-Lin Wu Department of Electrical Engineering National Taiwan University What is EMC Electro-Magnetic Compatibility ( 電磁相容 ) EMC EMI (Interference) Conducted

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

EMI Modeling of a 32-bit Microcontroller in Wait Mode

EMI Modeling of a 32-bit Microcontroller in Wait Mode EMI Modeling of a 32-bit Microcontroller in Wait Mode Jean-Pierre Leca 1, 2 Nicolas Froidevaux 1 Henri Braquet 2 Gilles Jacquemod 2 1 STMicroelectronics ZI de Rousset, France Contact: jean-pierre.leca@st.com

More information

EL7302. Hardware Design Guide

EL7302. Hardware Design Guide Hardware Design Guide Version: Preliminary 0.0 Date: January. 2005 Approval: Etron technology, Inc P.O. Box 19-54 No.6 Technology Road V. Science-based Industrial Park, Hsinchu,30077 Taiwan, R.O.C. Tel:

More information

The water-bed and the leaky bucket

The water-bed and the leaky bucket The water-bed and the leaky bucket Tim Williams Elmac Services Wareham, UK timw@elmac.co.uk Abstract The common situation of EMC mitigation measures having the opposite effect from what was intended, is

More information

Comparative Analysis of Intel Pentium 4 and IEEE/EMC TC-9/ACEM CPU Heat Sinks

Comparative Analysis of Intel Pentium 4 and IEEE/EMC TC-9/ACEM CPU Heat Sinks Comparative Analysis of Intel Pentium 4 and IEEE/EMC TC-9/ACEM CPU Heat Sinks Author Lu, Junwei, Duan, Xiao Published 2007 Conference Title 2007 IEEE International Symposium on Electromagnetic Compatibility

More information

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Photographer: Janpietruszka Agency: Dreamstime.com 36 Conformity JUNE 2007

More information

Investig&ion of the Theoretical Basis for Using a 1 G& TEM Cell to Evaluate the Radiated Emissions from Integrated Circuits

Investig&ion of the Theoretical Basis for Using a 1 G& TEM Cell to Evaluate the Radiated Emissions from Integrated Circuits Investig&ion of the Theoretical Basis for Using a 1 G& TEM Cell to Evaluate the Radiated Emissions from Integrated Circuits James P. Muccioli JASTECH P.O. Box 3332 Farmington Hills, MI 48333 Terty M. North

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

Electromagnetic Compatibility

Electromagnetic Compatibility Electromagnetic Compatibility Introduction to EMC International Standards Measurement Setups Emissions Applications for Switch-Mode Power Supplies Filters 1 What is EMC? A system is electromagnetic compatible

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes Course Introduction Purpose: This course discusses techniques that can be applied to reduce problems in embedded control systems caused by electromagnetic noise Objectives: Gain a basic knowledge about

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Course Introduction. Content 15 pages. Learning Time 30 minutes

Course Introduction. Content 15 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn about how packaging

More information

An Investigation of the Effect of Chassis Connections on Radiated EMI from PCBs

An Investigation of the Effect of Chassis Connections on Radiated EMI from PCBs An Investigation of the Effect of Chassis Connections on Radiated EMI from PCBs N. Kobayashi and T. Harada Jisso and Production Technologies Research Laboratories NEC Corporation Sagamihara City, Japan

More information

The Design of E-band MMIC Amplifiers

The Design of E-band MMIC Amplifiers The Design of E-band MMIC Amplifiers Liam Devlin, Stuart Glynn, Graham Pearson, Andy Dearn * Plextek Ltd, London Road, Great Chesterford, Essex, CB10 1NY, UK; (lmd@plextek.co.uk) Abstract The worldwide

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

Characterization and modelling of EMI susceptibility in integrated circuits at high frequency

Characterization and modelling of EMI susceptibility in integrated circuits at high frequency Characterization and modelling of EMI susceptibility in integrated circuits at high frequency Ignacio Gil* and Raúl Fernández-García Department of Electronic Engineering UPC. Barcelona Tech Colom 1, 08222

More information

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott Chapter 12 Digital Circuit Radiation Electromagnetic Compatibility Engineering by Henry W. Ott Forward Emission control should be treated as a design problem from the start, it should receive the necessary

More information

Modeling of Power Planes for Improving EMC in High Speed Medical System

Modeling of Power Planes for Improving EMC in High Speed Medical System Modeling of Power Planes for Improving EMC in High Speed Medical System Surender Singh, Dr. Ravinder Agarwal* *Prof : Dept of Instrumentation Engineering Thapar University, Patiala, India Dr. V. R. Singh

More information

Debugging EMI Using a Digital Oscilloscope. Dave Rishavy Product Manager - Oscilloscopes

Debugging EMI Using a Digital Oscilloscope. Dave Rishavy Product Manager - Oscilloscopes Debugging EMI Using a Digital Oscilloscope Dave Rishavy Product Manager - Oscilloscopes 06/2009 Nov 2010 Fundamentals Scope Seminar of DSOs Signal Fidelity 1 1 1 Debugging EMI Using a Digital Oscilloscope

More information

Signal Integrity, Part 1 of 3

Signal Integrity, Part 1 of 3 by Barry Olney feature column BEYOND DESIGN Signal Integrity, Part 1 of 3 As system performance increases, the PCB designer s challenges become more complex. The impact of lower core voltages, high frequencies

More information

Common myths, fallacies and misconceptions in Electromagnetic Compatibility and their correction.

Common myths, fallacies and misconceptions in Electromagnetic Compatibility and their correction. Common myths, fallacies and misconceptions in Electromagnetic Compatibility and their correction. D. A. Weston EMC Consulting Inc 22-3-2010 These are some of the commonly held beliefs about EMC which are

More information

Paper submitted to Microelectronics Journal, special issue EMC Compo 02

Paper submitted to Microelectronics Journal, special issue EMC Compo 02 Paper submitted to Microelectronics Journal, special issue EMC Compo 02 REGINA Test mask : Research on EMC Guidelines for INtegrated Automotive circuits C. Lochot (a), *, S. Calvet (a), S. Ben Dhia (b),

More information

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices DesignCon 216 Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn, Samsung Electronics Inc. jinsung.youn@samsung.com, youn.jinsung75@gmail.com Jieun Park, Samsung Electronics

More information

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network Kyle Holzer and Jeffrey S. Walling University of Utah PERFIC Lab, Salt Lake City, UT 84112, USA Abstract Integration

More information

Novel Modeling Strategy for a BCI set-up applied in an Automotive Application

Novel Modeling Strategy for a BCI set-up applied in an Automotive Application Novel Modeling Strategy for a BCI set-up applied in an Automotive Application An industrial way to use EM simulation tools to help Hardware and ASIC designers to improve their designs for immunity tests.

More information

High-Performance Electronic Design: Predicting Electromagnetic Interference

High-Performance Electronic Design: Predicting Electromagnetic Interference White Paper High-Performance Electronic Design: In designing electronics in today s highly competitive markets, meeting requirements for electromagnetic compatibility (EMC) presents a major risk factor,

More information