A 56Gb/s PAM-4 VCSEL driver circuit

Size: px
Start display at page:

Download "A 56Gb/s PAM-4 VCSEL driver circuit"

Transcription

1 ISSC 2012, NUI Maynooth, June Gb/s PM-4 VCSEL driver circuit N. Quadir*, P. Ossieur* and P. D. Townsend* *Photonic Systems Group, Tyndall National Institute, University College Cork, Ireland bstract We present a driver circuit that can modulate a VCSEL with a 56Gb/s (28Gbaud/s) 4-level pulse-amplitude-modulated (PM-4) signal. High power efficiency is achieved using a common-source driver topology that only draws the modulation current corresponding to the PM-4 symbol being transmitted. Gray encoder based on MOS Current-Mode Logic (MCML) gates is included on chip, as well as programmable PM-4 bias and modulation levels. Keywords VCSEL driver, pulse amplitude modulation, MCML gate. I INTRODUCTION Within the next five years, short-reach optical links (with a distance ranging from a few meters to hundreds of meters) will need to be able to support 100Gb/s/fibre on a single wavelength. pplications include datacenters and supercomputers (Infiniband, Fibre Channel), Local rea Networks (100G Ethernet and beyond) and new consumer links such as Thunderbolt. On top of the high-speed requirements, these links must also comply with stringent power consumption, cost and size limitations, for example allowing integration of highly parallel optical interconnects to further increase capacity. Short-reach optical links for these applications are typically based on a directly modulated, short-wavelength (e.g. 850nm) vertical cavity surface emitting laser (VCSEL) and multimode fibres. Pushing the bitrate on such links beyond today s commercially available 10Gb/s/fibre will require new generations of VCSEL driver and receiver electronics, as well as the use of advanced modulation formats. relatively simple multilevel modulation format beyond the conventional nonreturn to zero (NRZ) is 4-level pulse amplitude modulation (PM-4), providing 2 transmitted bits per symbol. Several PM-4driver circuits have been reported in [1-4]. In [1] the basic cell design consists of a differential pair which steers an always-on tail current to either the positive or negative output rail. This circuit was primarily intended for electrical backplane interconnect, and not very power efficient since the tail currents corresponding to the different PM symbols are always on, irrespective of the currently transmitted PM-symbol. In [2], a highspeed multilevel driver circuit implemented in a deepsubmicron CMOS technology, again primarily intended for electrical backplane interconnects is reported. It saves power by only activating the bias currents for the outer PM-4 symbols at the moment when they are transmitted. However this is not done for the inner PM-4 symbols. The used method to switch on/off the current sources corresponding to the outer PM-4 symbols (extinguishing the current by shorting the source and drain of the current source transistors) is also relatively slow, rendering this circuit impractical for the higher speeds which are needed for future applications. In [3], a differential pair topology is used, which again has the drawbacks in terms of power consumption as explained before. In [4] the driver circuit uses Current-Mode Logic (CML) style differential outputs (it was again intended primarily for electrical backplane interconnect), which means that the modulation currents for the different PM-4 symbols are continuously on and hence no power is saved. In this paper we present a VCSEL driver circuit design using PM-4 format implemented in 65nm CMOS technology which switches on only when the modulation current corresponding to a particular PM-4 symbol is being transmitted thus dramatically increasing power efficiency. II PM-4 MODULTION y transmitting multiple bits using a single symbol, the required bandwidth of the channel for a given bit rate decreases and system spectral efficiency increases. relatively simple multi-level modulation scheme is M-level pulse amplitude modulation, where each pulse conveys log 2 (M) bits of

2 1.2V Gnd 28Gb/s data 28Gb/s data Clock Data information. For a given data rate the effective symbol rate is reduced by log 2 (M) by using PM Fig. 1 PM-4 modulation and Gray encoding of binary input bits. X, Y and Z according to the truth table as shown in Table 1. Each of these bit streams X, Y and Z controls a slice of the driver circuit which can steer a current I to the VCSEL if its logical input is high, and a zero current if its logical input is low. In this way, the driver draws only modulation current for the PM symbol being actually transmitted. The generation of the bias current is spread across all three driver slices, thus ensuring that each driver slice is loaded with the same output impedance. oth the bias current and modulation current I are programmable through on-chip digital registers via the bias and modulation level controllers. n on-chip filtering capacitor is foreseen to provide the anode bias voltage to the VCSEL. The high-speed IO cells were specifically designed for very low capacitance (less than 100fF). P VCSEL PM-4 VCSEL diode VCSEL bias PM-3 PM-2 differential efficiency Driver 1 Driver 2 Driver 3 Level control PM-1 I I I I TH I IS I VCSEL Fig. 2 VCSEL Power vs. current relationship. ias driver (1) ias driver (2) X Y Z inary to Gray& Thermometer encoder ias driver (3) Level control SPI interface modulation compared to conventional binary modulation. Fig. 1 gives a schematic representation of PM-4 modulation. its are assigned to the PM symbols using so-called Gray coding, whereby adjacent PM symbols do not differ in more than a single bit, thus minimizing the bit-error rate at the receiver. Fig. 2 shows the optical output power of a VCSEL versus its bias current: note how a VCSEL only emits optical power when biased above its socalled threshold current. Hence, when modulating a VCSEL with a PM-4 signal, the PM-1 symbol (lowest order symbol) is generated by biasing the VCSEL with a current I IS. This bias current needs to be sufficiently large compared to the VCSEL threshold current (thus minimizing jitter due to turnon delay as well as decreasing the overshoot on the rising typical for laser diodes), but small enough to ensure sufficient modulation contrast. The other PM symbols are generated by driving the VCSEL with an additional current I per PM level. Fig. 3 shows a block diagram of the PM-4 VCSEL driver. The driver accepts two 28Gb/s bit streams and. To generate the corresponding PM-4 symbols, these two bit streams are converted into three Gray and thermometer encoded bitstreams Fig. 3 PM-4 VCSEL driver diagram (SPI = serial peripheral interface). Table 1 inary to Gray/thermometer encoding. PM X Y Z symbol III INRY TO THERMOMETER/GRY ENCODING s conventional CMOS logic does not switch fast enough to accommodate the required 28Gb/s, an MCML (Multi Current Mode Logic) style is used to implement the binary to thermometer/gray encoder. Contrary to static CMOS logic, mostly static power is consumed with little dynamic power. In

3 combination with a smaller voltage swing and its far superior switching speed, this makes MCML an excellent candidate for integration in circuits that require high-speed and are sensitive to power supply disturbances. s shown on Fig. 4, MCML gates are composed of a pull up (passive) network, differential NMOS pairs and a tail transistor that serves as a current source [5]. They are based on current steering wherein the bias current is steered to one of the output branches depending upon the inputs to the NMOS transistors. The branch with no current flowing through it generates a logic high output as the voltage drop across the load resistor is (ideally) zero. The voltage swing is decided by the resistance offered by the load device and the bias current provided through the tail transistor. Fig. 5 MCML inverter/buffer gate Passive pullup network Differential output NOR OR Differential inputs NMOS differential pairs Fig. 4 MCML gates. In order to generate 4-level signals, the binary data (,) has to be converted to thermometer Code (X,Y,Z) as shown in Table 1. From Table 1, we can see that bits X, Y and Z can be implemented as: X= OR, Y=, Z= ND (NOT ) as shown in Fig. 4. To increase the bandwidth, inductive peaking was used in all three logic gates. Fig. 6 MCML OR/NOR gate IV VCSEL DRIVER The VCSEL driver circuit is separated into three different slices (see Fig. 3) in order to reduce the load on each of the driving MCML gates. OR X To VCSEL x1 ND Fig. 5 inary to Thermometer Encoder Y Z X P 1 M 5 M 3 M 4 V DRV M 2 M 1 M Fig. 5 and 6 show an MCML inverter/buffer and OR/NOR gate respectively. The ND/NND gate (Z = ND (NOT ) ) required for the binary to thermometer encoder can be created using the same topology as the OR/NOR gate but with the inputs wired differently. Modulation ( I) control ias (I IS ) control Fig. 7 VCSEL driver slice.

4 Fig. 7 shows the detailed schematic of the VCSEL driver slice. Conventional VCSEL drivers are differential in nature with one output connected to a dummy load (chosen to be equivalent to the VCSEL impedance) and the other output to the VCSEL, however such drivers consume a lot of power as the tail current is always on and merely switched either to the (on-chip) dummy load or to the VCSEL. Here in order to save power we have used a common source stage (transistor M 1 ) with a cascode transistor M 2 driven by source follower M 3. Hence the modulation current is determined by the amount of swing (voltage V DRV ) seen at the input of the common source stage. This voltage swing can be controlled by setting the gate voltage of current source transistor M 4. The source follower is driven by a CMOS inverter stage (M 3 and P 1 ) which converts the output of the MCML gates to a full swing. The split bias currents are added at the drain of the CS transistors using transistor M, thus minimizing the capacitive load at the output. Then all currents (modulation and bias) from the three VCSEL driver slices are summed together and provided to VCSEL diode. The chip layout is shown in Fig. 12: the chip measures 0.98 by 0.76 mm 2. It is currently being fabricated. Devices will be integrated into a suitable module, first experimental results are expected during Q Fig. 9 Time trace the of VCSEL current at 56Gb/s (28Gbaud/s). Fig. 10 Postlayout eye diagram at 56Gb/s (28Gbaud/s). Fig. 8 Eye diagram of the VCSEL current at 56Gb/s (28Gbaud/s). V RESULTS The driver circuit was implemented in a 65nm dual oxide CMOS technology with a 7-layer metal back-end; a 1.2V supply voltage was used for the simulations. Fig. 8 shows the simulated eye diagram at a total throughput of 56Gb/s (28Gbaud/s). The bias current was 10m and the modulation current I was 2m. The VCSEL exhibited 61 differential resistance and an 85fF capacitance (bondpad + junction). Clear open eye opening can be observed with a horizontal eye opening of 27.5ps. Fig. 9 shows a time trace of the PM-4 signal, the different PM levels can be clearly observed. Fig. 10 shows the eye diagram under the same conditions as before with the extracted parasitic elements: some eye closure can be observed due to the layout parasitic. This will be solved in a next version using transmitter-side equalisation having a delayed tap approach to open the eye horizonally and vertically. Fig. 11 shows eye diagram for different amounts of modulation current (4.5m, 6m and 7.5m). Note how the eyes remain clearly open over this range of currents. I = 4.5m 3 I = 6.0m 3 I = 7.5m Fig.11 Eye diagram of VCSEL 56Gb/s for different modulation levels. Table I provides a table comparing our work with previously published M-PM driver circuits. part from the speed, note favourable performance in terms of required energy per transmitted bit (pjoule/bit). Fig. 12 Mask Layout of PM4-VCSEL driver

5 VI CONCLUSION novel topology for a PM-4 VCSEL driver has been presented. The driver circuit only switches the current corresponding to the PM symbol being transmitted thus saving significant power compared to conventional designs. For a bias current of 10m and a peak modulation current of 7.5m, the chip consumes only 32mW. t a throughput of 56Gb/s, this results in 0.56pJ/bit. VII CKNOWLEDGMENT Funding from Science Foundation Ireland (grant 06/IN/I969) and the Microelectronics Competence Centre Ireland (MCCI grant MCCI ) is gratefully acknowledged. VIII REFERENCES [1] H. Cheng and.c. Carusone, 32/16Gb/s 4/2- PM transmitter with PWM pre-emphasis and 1.2Vpp per side output swing in 0.13 m CMOS, Proceedings IEEE Custom Integrated Circuits Conference (CICC 2008), pp , Sept [2] K. Farzan and D.. Johns, CMOS 10-Gb/s power-efficient 4-PM transmitter, IEEE Journal of Solid-State Circuits, vol. 39, March [3] C.H. Lin, 4/2 PM serial link transmitter with tunable pre-emphasis, Proc. International Symp. Circuits and Systems (ISCS 2004), pp , May [4] C. Menolfi, T. Toifl, R. Reutemann, M. Ruegg, P.3 uchmann, M. Kossel, T. Morf and M. Schmatz, 25Gb/s PM4 transmitter in 90nm CMOS SOI, Dig. of Technical Papers ISSCC 2005, pp , Feb [5] M.Mizuno, M. Yamashina, K. Furuta, H. Igura, H. biko, K Okabe,. one H.Yamada, GHz MOS adapative pipeline technique using MOS current-mode logic, IEEE J. Solid-State Circuits, vol. 31, pp , June 1996

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

10 Gb/s Radiation-Hard VCSEL Array Driver

10 Gb/s Radiation-Hard VCSEL Array Driver 10 Gb/s Radiation-Hard VCSEL Array Driver K.K. Gan 1, H.P. Kagan, R.D. Kass, J.R. Moore, D.S. Smith Department of Physics The Ohio State University Columbus, OH 43210, USA E-mail: gan@mps.ohio-state.edu

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

/$ IEEE

/$ IEEE 1794 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 8, AUGUST 2009 A 32/16-Gb/s Dual-Mode Pulsewidth Modulation Pre-Emphasis (PWM-PE) Transmitter With 30-dB Loss Compensation

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A Study on Super Threshold FinFET Current Mode Logic Circuits

A Study on Super Threshold FinFET Current Mode Logic Circuits XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS Study on Super Threshold FinFET Current Mode Logic rcuits Xuqiang ZHNG, Jianping HU *, Xia ZHNG Faculty of Information Science

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

SHF Communication Technologies AG

SHF Communication Technologies AG SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23 Aufgang D 12277 Berlin Marienfelde Germany Phone ++49 30 / 772 05 10 Fax ++49 30 / 753 10 78 E-Mail: sales@shf.biz Web: http://www.shf.biz

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 26.8 A 2GHz CMOS Variable-Gain Amplifier with 50dB Linear-in-Magnitude Controlled Gain Range for 10GBase-LX4 Ethernet Chia-Hsin Wu, Chang-Shun Liu,

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

A Generic Standard Cell Design Methodology for Differential Circuit Styles

A Generic Standard Cell Design Methodology for Differential Circuit Styles A Generic Standard Cell Design Methodology for Differential Circuit Styles Stéphane Badel, Erdem Güleyüpoğlu, Özgür İnaç, Anna Peña Martinez, Paolo Vietti, Frank K. Gürkaynak and Yusuf Leblebici Microelectronic

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

High Speed Flash Analog to Digital Converters

High Speed Flash Analog to Digital Converters ECE 551, Analog Integrated Circuit Design, High Speed Flash ADCs, Dec 2005 1 High Speed Flash Analog to Digital Converters Alireza Mahmoodi Abstract Flash analog-to-digital converters, also known as parallel

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING ECE4691-111 S - FINAL EXAMINATION, April 2017 DURATION: 2.5 hours Optical Communication and Networks Calculator Type: 2 Exam Type: X Examiner:

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

TIMING recovery (TR) is one of the most challenging receiver

TIMING recovery (TR) is one of the most challenging receiver IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1393 A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter Faisal A. Musa, Student Member, IEEE,

More information

SY88992L. Features. General Description. Applications. Markets. Typical Application. 3.3V, 4.25Gbps VCSEL Driver

SY88992L. Features. General Description. Applications. Markets. Typical Application. 3.3V, 4.25Gbps VCSEL Driver 3.3V, 4.25Gbps VCSEL Driver General Description The is a single supply 3.3V, low power consumption, small-form factor VCSEL driver ideal for use in datacom applications; Ethernet, GbE (Gigabit Ethernet),

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Arista 40GBASE-XSR4-AR. Part Number: 40GBASE-XSR4-AR 40GBASE-XSR4-AR OVERVIEW APPLICATIONS PRODUCT FEATURES. FluxLight, Inc

Arista 40GBASE-XSR4-AR. Part Number: 40GBASE-XSR4-AR 40GBASE-XSR4-AR OVERVIEW APPLICATIONS PRODUCT FEATURES. FluxLight, Inc Part Number: 40GBASE-XSR4-AR 40GBASE-XSR4-AR OVERVIEW The 40GBASE-XSR4-AR is a parallel 40 Gbps Quad Small Form-factor Pluggable (QSFP+) optical module. It provides increased port density and total system

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades M. Menouni a, P. Gui b, P. Moreira c a CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France b SMU, Southern Methodist

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application Author Mohd-Yasin, Faisal, Yap, M., I Reaz, M. Published 2006 Conference Title 5th WSEAS Int. Conference on

More information

EA/MZ Modulator Driver PMCC_EAMD12G

EA/MZ Modulator Driver PMCC_EAMD12G EA/MZ Modulator Driver PMCC_EAMD12G IP MACRO Datasheet Rev 1.0 Process: Jazz Semiconductor SBC18HX DESCRIPTIO The PMCC_EAMD12G is designed to directly drive the 50Ω inputs of EA or MZ Modulators or EML

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

EFFICIENT DRIVER DESIGN FOR AMOLED DISPLAYS

EFFICIENT DRIVER DESIGN FOR AMOLED DISPLAYS EFFICIENT DRIVER DESIGN FOR AMOLED DISPLAYS CH. Ganesh and S. Satheesh Kumar Department of SENSE (VLSI Design), VIT University, Vellore India E-Mail: chokkakulaganesh@gmail.com ABSTRACT The conventional

More information

A radiation tolerant 5 Gb/s Laser Driver in 130 nm CMOS technology

A radiation tolerant 5 Gb/s Laser Driver in 130 nm CMOS technology Journal of Instrumentation OPEN ACCESS A radiation tolerant 5 Gb/s Laser Driver in 130 nm CMOS technology To cite this article: G Mazza et al View the article online for updates and enhancements. Related

More information

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016 ECEN474/704: (Analog) VLSI Circuit Design Fall 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Turn in your 0.18um NDA form by Thursday Sep 1 No

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Design of Low-Power Short-Distance Opto-Electronic Transceiver Front-Ends with Scalable Supply Voltages and Frequencies

Design of Low-Power Short-Distance Opto-Electronic Transceiver Front-Ends with Scalable Supply Voltages and Frequencies Design of Low-Power Short-Distance Opto-Electronic Transceiver Front-Ends with Scalable Supply Voltages and Frequencies Xuning Chen, Gu-Yeon Wei and Li-Shiuan Peh Dept. of Electrical Engineering, Princeton

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 016 Lecture 7: Transmitter Analysis Sam Palermo Analog & Mixed-Signal Center Texas A&M University Optical Modulation Techniques

More information

Product Specification 100GBASE-SR10 100m CXP Optical Transceiver Module FTLD10CE1C APPLICATIONS

Product Specification 100GBASE-SR10 100m CXP Optical Transceiver Module FTLD10CE1C APPLICATIONS Product Specification 100GBASE-SR10 100m CXP Optical Transceiver Module FTLD10CE1C PRODUCT FEATURES 12-channel full-duplex transceiver module Hot Pluggable CXP form factor Maximum link length of 100m on

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS

Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS LETTER IEICE Electronics Express, Vol.15, No.7, 1 10 Design of low-loss 60 GHz integrated antenna switch in 65 nm CMOS Korkut Kaan Tokgoz a), Seitaro Kawai, Kenichi Okada, and Akira Matsuzawa Department

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Introduction to ixblue RF drivers and amplifiers for optical modulators

Introduction to ixblue RF drivers and amplifiers for optical modulators Introduction to ixblue RF drivers and amplifiers for optical modulators Introduction : ixblue designs, produces and commercializes optical modulators intended for a variety of applications including :

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

O.13f-Lm 1.OV-l.5V Supply Digital Blocks for 40-Gb/s Optical Communication Systems

O.13f-Lm 1.OV-l.5V Supply Digital Blocks for 40-Gb/s Optical Communication Systems O.13f-Lm 1.OV-l.5V Supply Digital Blocks for 40-Gb/s Optical Communication Systems Bangli Liang, Tad Kwasniewski Department of Electronics Carleton University Ottawa, Ontario, Canada Email: bliang.tak@doe.carleton.ca

More information

Design and Analysis of Low-Voltage Current-Mode Logic Buffers

Design and Analysis of Low-Voltage Current-Mode Logic Buffers Design and Analysis of Low-Voltage Current-Mode Logic Buffers Payam Heydari Department of Electrical and Computer Engineering University of California, Irvine Irvine, CA 9697-65 Abstract - This paper investigates

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Configurable Transmitter with De-emphasis Scheme supporting Wide Range Data Rates

Configurable Transmitter with De-emphasis Scheme supporting Wide Range Data Rates This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Configurable Transmitter with De-emphasis Scheme

More information

Design of VCOs in Global Foundries 28 nm HPP CMOS

Design of VCOs in Global Foundries 28 nm HPP CMOS Design of VCOs in Global Foundries 28 nm HPP CMOS Evan Jorgensen 33 rd Annual Microelectronics Conference Rochester Institute of Technology Department of Electrical and Microelectronic Engineering May

More information

Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver

Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver PRODUCT FEATURES Hot-pluggable XFP footprint Supports 9.95Gb/s to 10.5Gb/s bit rates Power dissipation

More information

Design technique of broadband CMOS LNA for DC 11 GHz SDR

Design technique of broadband CMOS LNA for DC 11 GHz SDR Design technique of broadband CMOS LNA for DC 11 GHz SDR Anh Tuan Phan a) and Ronan Farrell Institute of Microelectronics and Wireless Systems, National University of Ireland Maynooth, Maynooth,Co. Kildare,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Design of 28 nm FD-SOI CMOS laser drive circuit for energy efficient Datacom applications.

Design of 28 nm FD-SOI CMOS laser drive circuit for energy efficient Datacom applications. Design of 28 nm FD-SOI CMOS laser drive circuit for energy efficient Datacom applications. Master s Thesis in Embedded Electronic System Design STAVROS GIANNAKOPOULOS Department of Computer Science and

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology Ch. Anandini 1, Ram Kumar 2, F. A. Talukdar 3 1,2,3 Department of Electronics & Communication Engineering,

More information

NON-AMPLIFIED HIGH SPEED PHOTODETECTOR USER S GUIDE

NON-AMPLIFIED HIGH SPEED PHOTODETECTOR USER S GUIDE NON-AMPLIFIED HIGH SPEED PHOTODETECTOR USER S GUIDE Thank you for purchasing your Non-amplified High Speed Photodetector. This user s guide will help answer any questions you may have regarding the safe

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

F i n i s a r. Product Specification Quadwire 40 Gb/s Parallel Active Optical Cable FCBG410QB1Cxx

F i n i s a r. Product Specification Quadwire 40 Gb/s Parallel Active Optical Cable FCBG410QB1Cxx Product Specification Quadwire 40 Gb/s Parallel Active Optical Cable FCBG410QB1Cxx PRODUCT FEATURES Four-channel full-duplex active optical cable Electrical interface only Multirate capability: 1.06Gb/s

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information