High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

Size: px
Start display at page:

Download "High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template"

Transcription

1 Supporting Information High throughput ultra-long (20cm) nanowire fabrication using a wafer-scale nanograting template Jeongho Yeon 1, Young Jae Lee 2, Dong Eun Yoo 3, Kyoung Jong Yoo 2, Jin Su Kim 2, Jun Lee 2, Jeong Oen Lee 1, Seon-Jin Choi 1, Gun-Wook Yoon 1, Dong Wook Lee 3, Gi Seong Lee 3, Hae Chul Hwang 3 and Jun-Bo Yoon 1 * 1 Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, , Republic of Korea. 2 LG Innotek Components & Materials R&D Center, 55 Hanyang Daehak-ro, Ansan-si, Gyeonggi-do, , Republic of Korea. 3 Korea National NanoFab Center (NNFC), 291 Daehak-ro, Yuseong-gu, Daejeon, , Republic of Korea. *Correspondence to: jbyoon@ee.kaist.ac.kr The first two authors contributed equally to this work. Materials and Methods: Master template fabrication: Two undoped poly-si thin films vertically separated by tetraethylorthosilicate (TEOS) SiO 2 and SiN layers were pre-deposited on an 8-inch Si wafer using a low pressure chemical vapor deposition (LPCVD) system (Centrotherm Corporation, E1200). An initial pattern with a period of 400 nm was formed using a krypton fluoride (KrF) 1

2 step and repeat scanning system (PAS 5500/700D, ASML Corporation). A reticle containing lines and spaces with widths of 600 nm and 1 µm, respectively, was used as a photomask to form an initial pattern of 400 nm-pitch lines. Inductively coupled plasma reactive ion etching systems (Lam Research Corporation, Ltd., TCP-9400DFM), were used to etch the structure s materials in the dry phase. A Cl 2, HBr and O 2 based gas mixture was used to etch poly-si. For SiN and SiO 2, we used C 4 F 8 /Ar/O 2 and CF 4 /CH 2 F 2 /He based gas mixtures as the reactants for etching, respectively. The operating power and etching times were precisely adjusted for accurate etching stops. Pattern transfer for disposable template: A customized low-viscosity UV curable monomer was used as the imprinting resin. After dropping the imprinting resin on the Si nanograting mold, a polyethylene terephthalate (PET) film was used to cover it and was followed by a rolling-over process. The imprinting resin was cured under UV exposure (wavelength of 365 nm) and manually peeled off from the mold. Fabrication of ordered nanowire array: To fabricate regularly ordered nanowire arrays, we deposited the target materials on the plastic disposable templates by thermal evaporation or sputtering processes. For separation of the nanowires, we performed glancing angle deposition, in which the target materials are deposited at an oblique angle, so that the nanowire arrays are naturally separated from each other. For each single metal, dielectric or ferroelectric material, the deposition thickness was set at 400 Å. Extraction of random nanowire network: Aluminium, copper, and gold thin films were deposited on the plastic disposable templates by conventional thermal evaporation or sputtering systems. The thickness of the aluminium sacrificial layer was 200 Å. Gold and Cu were deposited with a target thickness of 400 Å. We used a commercial Cu etchant (APS-100, 2

3 Transene Corporation) and a Au etchant (C&C Tech. Corporation). To prevent rapid etch-out of the nanowire materials, the etchants were diluted with de-ionized (DI) water, at a ratio of 10:1. The nanowires were peeled off the substrate by removing the sacrificial Al layer in 5% sodium hydroxide (NaOH) solutions. SEM characterization: Samples for cross-sectional SEM imaging were cut into pieces with sizes from several millimeters to 1cm. To show the multi-layered structure in the cross-sectional view, the cut samples were lightly etched in a dilute HNO 3 and HF solution for 10 s after covering the top surface with a positive photoresist (AZ1512, Clariant Corporation). The cross-sectional structures were imaged by a field-emission SEM (S-4800, Hitachi Corporation) operating at 5 10 kev. All SEM samples were coated with platinum in a sputtering system (SCD005, Baltec Corporation) with a thickness of 15 nm to avoid charging effects. 3

4 Supplementary Text: S1. Conventional spacer lithography limits Figure S1 shows the conceptual view of conventional spacer lithography. First, a sacrificial pattern with a pitch that is double the target pitch is formed (Figures S1a S1c). Second, a thin film spacer is deposited on the sacrificial pattern (Figure S1d) by chemical vapour deposition (CVD). In this step, step coverage should be sufficient for the thin film to be grown not only at the top and bottom parts but also at the sidewalls of the sacrificial pattern. Next, the spacer thin film is vertically (anisotropically) etched by a reactive ion etching (RIE) process, so that the spacer sidewall remains (Figure S1e). Following the vertical spacer etching process, the sacrificial pattern is removed, leaving the spacer sidewalls (Figure S1f). After sacrificial pattern removal, the remaining spacer sidewall pattern has a pitch that is half of that of the initial sacrificial pattern. However, as shown in Figure S1f, the cross-section of the spacer is no longer rectangular but is severely distorted. This pattern distortion prevents continuous reduction, and limits multiple pattern downscaling. Figure S1. Process sequence for pattern pitch reduction using conventional spacer lithography. (a) Wafer preparation. (b) Sacrificial layer deposition. (c) Sacrificial layer patterning. (d) Spacer deposition. (e) Anisotropic etch of the spacer, leaving the sidewall behind. (f) Sacrificial layer removal. 4

5 S2. Modified spacer lithography Figure S2 shows the basic concept of the proposed pattern pitch reduction method. First, multiple polycrystalline Si (poly-si) layers were vertically stacked by CVD on an 8-inch Si wafer, being isolated by alternately deposited silicon dioxide (SiO 2 ) and silicon nitride (SiN) films. These dielectric films function as a protection layer during the pattern reduction process. After patterning of the top poly-si layer, SiO 2 spacer material is deposited by CVD on the pattern, with the required step coverage (Figures S2a and S2b). Following the spacer deposition, an RIE process is conducted along the vertical direction to etch out the top SiO 2 and uncover the top part of the poly-si pattern, leaving the spacer sidewall (Figure S2c). We then remove the poly-si pattern, leaving the SiO 2 sidewall behind (Figure S2d). During the poly-si removal process, the dielectric film protects the underlying poly-si film. As noted above, the sidewall pattern at this point is severely distorted. To recover the distorted sidewall pattern shape, the sidewall pattern was then transferred to another underlying poly- Si layer by another vertical dry etching process (Figures S2e and S2f). After the spacer sidewall is removed, the scaled pattern shape is fully recovered (Figure S2g). Because the multiple layers remain under the scaled patterns, identical pattern scaling processes can be conducted repetitively, thus scaling down the pattern pitch further. Figures S2h-S2n shows a repetition of the pattern reduction process to finally obtain a 1/4-scaled grating from the initial pattern shown in Figure S2a. 5

6 Figure S2. Overall process sequence of repetitive pattern pitch reduction and recovery technology. (a) Wafer preparation and initial layer patterning. (b) Spacer deposition. (c) Anisotropic etch of the spacer. (d) Sacrificial pattern removal. (e-f) Pattern transfer to the underlying layer. (g) Pattern shape recovery by spacer mask removal. (h-n), Second pattern reduction process through identical process to that shown in (a-g). 6

7 S3. Fabrication of a disposable template Figure S3 illustrates the process for fabrication of the disposable template from the Si master template. Figure S3. Overall pattern transfer process for fabrication of plastic disposable templates from Si nanograting master template. (a) Starting nanograting master template. (b) UV-curable pre-polymer resin is dropped on the master template. (c) Covering with a PET substrate and rolling. (d) Pre-curing of pre-polymer resin under moderate UV dose. (e) Removal of pre-cured pattern from the master template. (f) Hard-curing of patterned resin under strong UV dose. 7

8 S4. Shadowing effect in material deposition During nanowire material deposition on the plastic nanograting template, the pattern valleys were shadowed by the pattern peaks, as shown in Figure S4. When the target material is first deposited on a patterned substrate at an incident angle, parts of the pattern valleys are shadowed by the adjacent pattern peaks (Figures S4a and S4b). This shadow effect causes the deposited materials to be much thicker at the pattern peaks than at the valleys (Figure S4c). Because the nanowire material in the valleys is much thinner than that at the peaks, deposited thin films in the valleys are more easily etched during the subsequent slight etching in an aqueous solution, leaving the separated nanowires at the pattern peaks. Figure S4. Conceptual illustration of the deposition mechanism. (a) Pattern valleys are shadowed by the pattern peaks, making it more difficult to deposit in the valleys. (b) Deposited material is piled up, except in the shadowed region. (c) Shadowed region gets wider as the deposition thickness increases. 8

9 S5. Random nanowire network extraction By depositing additional sacrificial layers prior to deposition of the target nanowire materials, the nanowires can be easily extracted (detached) from the substrate, forming random nanowire networks. In this study, we used a 200 Å Al thin film as the sacrificial material. For example, to extract Cu nanowires from the substrate, we sequentially deposited Al and Cu thin films with thicknesses of 200 Å and 400 Å, respectively. After dipping the sample into a dilute Cu etching solution for a short time, the underlying Al layer is exposed in the pattern valleys. The separated top Cu nanowires can now be detached from the nanograting substrate by removal of the underlying Al layer in an etching solution. Schematic illustrations of the nanowire extraction process are shown in Figure S5a to S5d. Figure S5. Nanowire network extraction from nanograting template. (a) Sacrificial layer deposition on the plastic disposable template. (b) Target material deposition on the sacrificial layer. (c) Nanowire separation and diameter adjustment through wet etching process. (d) Nanowire extraction by releasing the sacrificial layer. 9

10 The nanowire network thickness can be controlled via the wet etching time. Figure S6a shows the average diameter of the Cu nanowire network versus the etching time of the Cu thin film. The graph shows a clear trend where the average nanowire diameter decreases as the Cu etching time increases. We achieved a nanowire array with a diameter as low as 50 nm by this wet etching method. SEM images of the Cu nanowire networks extracted after different wet etching times for the Cu thin films are shown in Figures S6b and S6c. We can see that the diameter of the nanowires decreases as the etching time increases. Figure S6. Control of the average diameter of the Cu nanowire network. (a) Trend of the average diameter of the Cu nanowire array relative to the Cu etching time. (b) and (c) SEM photographs of the Cu nanowire network with wet etching times of 10 s and 30 s, respectively. All scale bars indicate 500 nm. 10

11 S6. Regularly aligned nanowire releasing The highly ordered nanowire array on disposable template can be released with its perfect array structure maintained. We treated the polymer disposable template, on which highly aligned Al nanowire array is deposited, under oxygen plasma (200W, 30s) to etch out the polymer grating and release the nanowire array on it. Through the oxygen plasma treatment, the polymer grating template under the highly ordered nanowire array was selectively attacked and the anchoring force becomes weak. Because of the weakened anchoring force of the underlying polymer grating template, the regular nanowire array becomes easy to be peeled off from the substrate. Figure S7 shows the schematic illustration of the nanowire releasing process. Figure S7. Schematic illustration of the aligned nanowire transferring. (a) Ordered nanowire deposition on the plastic disposable template. (b) Oxygen plasma treatment for etching the underlying grating pattern. (c) Detaching the aligned nanowire array. To verify the feasibility of nanowire peeling off process, we detached the Al nanowire array by sticky tape, as shown in Figure S8a to S8c. From SEM observation, we could see the Al nanowire array transfer to the sticky tape with its perfect alignment maintained, as shown in Figure S9a and S9b. Although some defect area are shown in Figure S9a, we could know that the nanowire can be released with maintained array structure over several centimeter of area. 11

12 Figure S8. Optical view of aligned nanowire transferring process. (a) Plasma treated nanowire array on a plastic disposable template. (b) Nanowire being peeled off from the disposable template. (c) Peeled-off nanowire array with its alignment maintained. Figure S9. SEM photographs of the peeled-off Al nanowire array. (a) Low magnification and (b) High magnification. Scale bars in (a) and (b) indicate 1µm and 500nm, respectively. Another method can be used to release nanowire array with perfect alignment as follows. Using a poor adhesion force between certain pair of material, nanowire array pattern can be easily peeled off from the substrate. (C. H. Lee et al. Nano Lett. 11, ) As an example, we deposited Au nanowire array on the silicon master template with a native SiO 2 interstitial layer. Because of the poor adhesion between Au and SiO 2, the top Au nanowire array was easily trasnferred to the target sticky substrate. Figures S10 and S11 show the process schematic and SEM image of the Au 12

13 nanowire transferred onto a sticky tape, respectively. Although some defects and fails of transferred nanowires are shown in the Figure S11a, we could see that the Au nanowires were generally well transferred with their 100-nm pitch and high alignment maintained. Figure S10. Schematic illustration of the aligned nanowire transferring from the master template. (a) Si grating master template with native SiO 2 on it. (b) Au nanowire deposition. (c) Detaching the aligned nanowire array. Figure S11. SEM images of Au nanowires array transferred from the Si master grating template to a sticky tape. (a) Low magnification and (b) High magnification. Scale bars in (a) and (b) indicate 2µm and 500nm, respectively. 13

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

Supplementary Information

Supplementary Information Supplementary Information Wireless thin film transistor based on micro magnetic induction coupling antenna Byoung Ok Jun 1, Gwang Jun Lee 1, Jong Gu Kang 1,2, Seung Uk Kim 1, Ji Woong Choi 1, Seung Nam

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Novel buried inverse-trapezoidal micropattern for dual-sided light extracting backlight unit

Novel buried inverse-trapezoidal micropattern for dual-sided light extracting backlight unit Novel buried inverse-trapezoidal micropattern for dual-sided light extracting backlight unit Gun-Wook Yoon, 1 Hyeon-Don Kim, 1,2 Jeongho Yeon, 1,3 and Jun-Bo Yoon 1,* 1 Department of Electrical Engineering,

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2012.208 A Sub-1V Nanoelectromechanical Switching Device Jeong Oen Lee 1, Yong-Ha Song 1,Min-Wu Kim 1,Min-Ho Kang 2,Jae-Sup Oh 2,Hyun-Ho Yang 1,and Jun-Bo Yoon

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Supporting Information for. Stretchable Microfluidic Radio Frequency Antenna

Supporting Information for. Stretchable Microfluidic Radio Frequency Antenna Supporting Information for Stretchable Microfluidic Radio Frequency Antenna Masahiro Kubo 1, Xiaofeng Li 2, Choongik Kim 1, Michinao Hashimoto 1, Benjamin J. Wiley 1, Donhee Ham 2 and George M. Whitesides

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Vertical nanowire electrode arrays as a scalable platform for intracellular interfacing to neuronal circuits Jacob T. Robinson, 1* Marsela Jorgolli, 2* Alex K. Shalek, 1 Myung-Han Yoon, 1 Rona S. Gertner,

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS

MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS MICROBUMP CREATION SYSTEM FOR ADVANCED PACKAGING APPLICATIONS Andrew Ahr, EKC Technology, & Chester E. Balut, DuPont Electronic Technologies Alan Huffman, RTI International Abstract Today, the electronics

More information

Additional information Indium-free, highly transparent, flexible Cu2O/Cu/Cu2O mesh electrodes for flexible touch screen panels

Additional information Indium-free, highly transparent, flexible Cu2O/Cu/Cu2O mesh electrodes for flexible touch screen panels Additional information Indium-free, highly transparent, flexible Cu2O/Cu/Cu2O mesh electrodes for flexible touch screen panels By Don-Ju Kim 1, Hyo-Joong Kim 1, Ki-Won Seo 1, Ki-Hyun Kim 2, Tae-Wong Kim

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE Chih-Yuan Chang and Yi-Min Hsieh and Xuan-Hao Hsu Department of Mold and Die Engineering, National

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Supporting Information. Filter-free image sensor pixels comprising silicon. nanowires with selective color absorption

Supporting Information. Filter-free image sensor pixels comprising silicon. nanowires with selective color absorption Supporting Information Filter-free image sensor pixels comprising silicon nanowires with selective color absorption Hyunsung Park, Yaping Dan,, Kwanyong Seo,, Young J. Yu, Peter K. Duane, Munib Wober,

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION A flexible and highly sensitive strain-gauge sensor using reversible interlocking of nanofibres Changhyun Pang 1, Gil-Yong Lee 2, Tae-il Kim 3, Sang Moon Kim 1, Hong Nam Kim 2, Sung-Hoon Ahn 2, and Kahp-Yang

More information

Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 34141, Republic of Korea

Technology (KAIST), 291 Daehak-ro, Yuseong-gu, Daejeon, 34141, Republic of Korea MRS Advances 2017 Materials Research Society DOI: 10.1557/adv.2017. 305 Lead-free BaTiO 3 Nanowire Arrays-based Piezoelectric Energy Harvester Changyeon Baek, 1 Hyeonbin Park, 2 Jong Hyuk Yun 1, Do Kyung

More information

Supplementary Information

Supplementary Information Supplementary Information Metasurface eyepiece for augmented reality Gun-Yeal Lee 1,, Jong-Young Hong 1,, SoonHyoung Hwang 2, Seokil Moon 1, Hyeokjung Kang 2, Sohee Jeon 2, Hwi Kim 3, Jun-Ho Jeong 2, and

More information

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches Supplementary Information A large-area wireless power transmission sheet using printed organic transistors and plastic MEMS switches Tsuyoshi Sekitani 1, Makoto Takamiya 2, Yoshiaki Noguchi 1, Shintaro

More information

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Supplementary Information Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Yongkuk Lee 1,+, Benjamin Nicholls 2,+, Dong Sup Lee 1, Yanfei Chen 3, Youngjae Chun 3,4,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

A new class of LC-resonator for micro-magnetic sensor application

A new class of LC-resonator for micro-magnetic sensor application Journal of Magnetism and Magnetic Materials 34 (26) 117 121 www.elsevier.com/locate/jmmm A new class of LC-resonator for micro-magnetic sensor application Yong-Seok Kim a, Seong-Cho Yu a, Jeong-Bong Lee

More information

Obducat NIL 6. Nanoimprinting with NRF s NIL 6

Obducat NIL 6. Nanoimprinting with NRF s NIL 6 Obducat NIL 6 Substrates: pieces to 6 inch, hard or soft Thermal cure with PMMA, MR I 7010 etc Alignment to about 3 microns Temperature to 300 HC Pressure 15 to 80 bars Resolution < 50 nm possible Up to

More information

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer

On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer header for SPIE use On-chip 3D air core micro-inductor for high-frequency applications using deformation of sacrificial polymer Nimit Chomnawang and Jeong-Bong Lee Department of Electrical and Computer

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

Fabrication of Metal Nanobridge Arrays using Sacrificial Silicon Nanowire

Fabrication of Metal Nanobridge Arrays using Sacrificial Silicon Nanowire 396 Journal of Electrical Engineering & Technology Vol. 7, No. 3, pp. 396~400, 2012 http://dx.doi.org/10.5370/jeet.2012.7.3.396 Fabrication of Metal Nanobridge Arrays using Sacrificial Silicon Nanowire

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers Supporting Information Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers Thang Duy Dao 1,2,3,*, Kai Chen 1,2, Satoshi Ishii 1,2, Akihiko Ohi 1,2, Toshihide Nabatame

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Cheng-Hsuan Lin a, Yi-Chung Lo b, Wensyang Hsu *a a Department of Mechanical Engineering, National Chiao-Tung University,

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS 2 LASER INTERFERENCE LITHOGRAPHY (LIL) 9 2 LASER INTERFERENCE LITHOGRAPHY (LIL) Laser interference lithography [3~22] (LIL) is a method to produce periodic structures using two interfering highly-coherent

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Transfer printing stacked nanomembrane lasers on silicon Hongjun Yang 1,3, Deyin Zhao 1, Santhad Chuwongin 1, Jung-Hun Seo 2, Weiquan Yang 1, Yichen Shuai 1, Jesper Berggren 4, Mattias Hammar 4, Zhenqiang

More information

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications Proceedings of the 17th World Congress The International Federation of Automatic Control Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

CLAIMS 1. A suspension board with circuit, characterized in that, it comprises a metal support layer, an insulating layer formed on the metal support

CLAIMS 1. A suspension board with circuit, characterized in that, it comprises a metal support layer, an insulating layer formed on the metal support [19] State Intellectual Property Office of the P.R.C [51] Int. Cl 7 G11B 5/48 H05K 1/11 [12] Patent Application Publication G11B 21/16 [21] Application No.: 00133926.5 [43] Publication Date: 5.30.2001

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching

Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching AIJSTPME (2010) 3(3): 29-34 Development of Orderly Micro Asperity on Polishing Pad Surface for Chemical Mechanical Polishing (CMP) Process using Anisotropic Etching Khajornrungruang P., Kimura K. and Baba

More information

An X band RF MEMS switch based on silicon-on-glass architecture

An X band RF MEMS switch based on silicon-on-glass architecture Sādhanā Vol. 34, Part 4, August 2009, pp. 625 631. Printed in India An X band RF MEMS switch based on silicon-on-glass architecture M S GIRIDHAR, ASHWINI JAMBHALIKAR, J JOHN, R ISLAM, C L NAGENDRA and

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

High Performance Silicon-Based Inductors for RF Integrated Passive Devices

High Performance Silicon-Based Inductors for RF Integrated Passive Devices Progress In Electromagnetics Research, Vol. 146, 181 186, 2014 High Performance Silicon-Based Inductors for RF Integrated Passive Devices Mei Han, Gaowei Xu, and Le Luo * Abstract High-Q inductors are

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Lateral Nanoconcentrator Nanowire Multijunction Photovoltaic Cells

Lateral Nanoconcentrator Nanowire Multijunction Photovoltaic Cells Lateral Nanoconcentrator Nanowire Multijunction Photovoltaic Cells Investigators Professor H.-S. Philip Wong (Department of Electrical Engineering) Professor Peter Peumans (Department of Electrical Engineering)

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Sidewall lithography of micron-sized features in high-aspect-ratio meso-scale channels using a three-dimensional assembled mask

Sidewall lithography of micron-sized features in high-aspect-ratio meso-scale channels using a three-dimensional assembled mask Ji et al. Micro and Nano Systems Letters 2014, 2:6 LETTER Open Access Sidewall lithography of micron-sized features in high-aspect-ratio meso-scale channels using a three-dimensional assembled mask Chang-Hyeon

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Supporting Information

Supporting Information Supporting Information Highly Stretchable and Transparent Supercapacitor by Ag-Au Core Shell Nanowire Network with High Electrochemical Stability Habeom Lee 1, Sukjoon Hong 2, Jinhwan Lee 1, Young Duk

More information

Electrothermal Actuator

Electrothermal Actuator Electrothermal Actuator 09-09-14 Generated by CleanRoom Substrate thickness: 50 (µm) Comments: 1. Substrate Si Czochralski (100) Film Thickness: 600 nm (Conformal) Comments: 2. Deposition Si3N4 PECVD (Ar)

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

Design of a microactuator array against the coupled nature of microelectromechanical systems (MEMS) processes

Design of a microactuator array against the coupled nature of microelectromechanical systems (MEMS) processes Design of a microactuator array against the coupled nature of microelectromechanical systems (MEMS) processes Annals of CIRP, vol.49/1, 2000 Abstract S. G. Kim (2) and M. K. Koo Advanced Display and MEMS

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Super-resolution imaging through a planar silver layer

Super-resolution imaging through a planar silver layer Super-resolution imaging through a planar silver layer David O. S. Melville and Richard J. Blaikie MacDiarmid Institute for Advanced Materials and Nanotechnology, Department of Electrical and Computer

More information