Test-Chip Structures for Local Random Variability Characterization in CMOS 65 nm

Size: px
Start display at page:

Download "Test-Chip Structures for Local Random Variability Characterization in CMOS 65 nm"

Transcription

1 SIM th South Symposium on Microelectronics 209 Test-Chip Structures for Local Random Variability Characterization in CMOS 65 nm 1 Felipe Correa Werle, 2 Juan Pablo Martinez Brito, 1,2 Sergio Bampi { fcwerle, juan, bampi}@inf.ufrgs.br 1 GME, Microelectronics Group Informatics Institute 2 PGMICRO, Graduate Program on Microelectronics UFRGS, Federal University of Rio Grande do Sul Abstract This paper describes the design of a 65nm technology test chip, aimed at investigating and characterizing the truly local random variations. The first structure is a matrix-style transistor array with closely spaced MOS transistors. The second structure comprises three arrays of ring oscillators with different numbers of stages and other two arrays of ring oscillators composed solely by single-type transistors. The third structure is based on a procedure to measure an array of stacked-pairs of identical MOS transistors. The design is done in 65nm CMOS bulk technology and the final chip area is 1580 x 1580 µm. 1. INTRODUCTION Process variations impose a very important challenge to future nano-scaling of VLSI technology below 32nm. For the past several years, variation in CMOS process has been a concern in the design, manufacture and accurate operation of integrated circuits. Nowadays, intradie variations (further discussion on the differences between interdie and intradie variation are in [1]) are considered as one of the limiting factors for further CMOS scaling [2] and certainly a drawback to the continuing Moore s law [3] scaling. Intradie fluctuations [4] originate mainly from the fluctuation of dopants in the channel region, which is determined by a stochastic energetic ion implantation process [5]. That is, this type of characteristics fluctuation cannot be eliminated in principle. Mismatch differences among MOSFETs are of utmost concern, since the fluctuation of their characteristics becomes significant as their physical size decreases. Therefore, to model and characterize these variations, highly accurate measurement data on local variability are needed to feed and verify transistor mismatch models [6, 7] with realistic statistical data. Thus, one of the most serious challenges in process variations for sub-100- nm technologies is the effective and reliable way to obtain statistical data from FETs within a reasonable time. To obtain meaningful variation data, special care must be taken concerning the test structure and measurement setup. Due to its statistical random nature, the local random variation effect must be characterized by measuring a large number of individual devices closely placed. A basic approach to obtain statistical data from a given process is to use arrays of identical transistors [8, 9]. Transistor arrays unavoidably occupy a large area and require sequentially long measurements, reducing the measurement throughput. Nevertheless, the attractiveness of transistor arrays as test structures has led to recent efforts [10] that aim to come up with new ways to create optimized structures for fast and semi-automatic measurement procedures. This structure relies on a multiplexed transistor array with high-density access to multiple devices by means of address decoding and access circuits comprised of CMOS transmission gates. An alternative to the first class of measurements is to convert an analog signal to a more robust measurable quantity. Doing so simplifies the requirements for the test equipment and environment. Frequency measurements using on-chip circuitry can help with signal-to-noise and bandwidth problems and provide a minimally invasive probing strategy. Ring oscillator-based approaches [11] are effective for test time and are good general-purpose indicators of process variations on digital performance. However, they typically cannot help to predict unique device variation because they tend to indicate the mean parameter strength from its frequency value. A more recent technique for variability measurement is to use a common gate series-connected MOSFET structure [12-14] suitable for process monitoring purposes. In this structure the mismatch behavior of a large number of MOSFETs pairs is promptly evaluated in a small area using simple voltage measurements. In this paper we propose a test chip designed with new structures for local random variability measurements. Design, simulation and variance simulations using Monte-Carlo were done for a 65 nm CMOS bulk process. The designed test chip has the following structures:

2 210 SIM th South Symposium on Microelectronics 1) A MOSFET matrix-style array composed of multiplexed/biased closely spaced identical MOS transistors. 2) An array of a new type of ring oscillator composed solely by single-type transistor called: NMOS-only [or PMOS-Only] ring oscillator. 3) An array of MOSFET stacked-pairs in which their gates are internally connected. 4) An array of MOSFET stacked-pairs in which the gates are externally connected, providing the possibility to evaluate layout/distance mismatch. 2. A MOSFET MATRIX The purpose of this structure is to evaluate the transistor mismatch in the traditional way (data analysis of current-voltage curves). Based on [9], Fig. 1 shows the entire diagram of the test structure. The MOSFET matrix includes: bias circuitry, level shifters and address decoding. Fig. 1 - Entire MOSFET Matrix Test Structure The transistors are arranged in individually addressable cells. The matrix contains a total of 1024 devices (512 NMOS and 512 PMOS) placed in 64 columns with 16 rows. Ten groups of ten different size transistors compose the MOSFET matrix. Table 1 summarizes the transistor sizes (60nm is the minimum channel length): Tab. 1 - Transistor Sizes # L [nm] W [nm] All transistor drains on each column are connected together. All gates and sources on each row are connected together. Figure 2 draws the connection to each Device Under Test (DUT) within the matrix. Using a row/column address decoder, only one transistor is selected. The other terminals of the nonselected transistors are clamped to respective (N-Fet or P-Fet) clamp voltages into the accumulation mode. The transmission gates connection to each DUT, the force/sense lines, and the DUT selection procedure are shown in Fig. 3.

3 SIM th South Symposium on Microelectronics 211 Fig. 2 - Transistor Connections. Fig. 3 - DUT Connection Transmission gates are composed by thick oxide transistors (I/O transistors 2.5V) to drive current of the selected device to the I/O pin. The transmission gate lies in series between the drain and the source terminal of the DUT, causing a difference between the applied pin voltage ( external voltage) and the real bias voltage applied to the device. To minimize the effects of these IR drops, a Kelvin measurement technique is used. This technique increases the pin count because a Sense pin is needed. The entire layout of this structure including the PMOS and NMOS MOSFET Matrix, the bias circuitry, level shifters, address decoding and routing is about 330 x 280 µm 2 as shown in figure 4: Fig. 4 - MOSFET Matrix Layout 3. RING OSCILATOR The frequency of a traditional CMOS ring oscillator (RO) is typically sensitive to many process parameters, e.g., transistor gate length and width, threshold voltage, oxide thickness, etc. For equally designed ROs (that is, same number of stages and same W and L for the inverters), the respective difference on the measured output frequency is related to process variations [11]. In our test chip, we designed three different CMOS ring oscillators with 67, 101 and 151 stages that are planned to achieve (with full supply power. 1.2V) MHz, MHz and MHz respectively. To control and avoid interferences in our measurement the last stage of each ring oscillator is controlled by a Tristate inverter, thus only one oscillator oscillates at time. By now one group of oscillator was measured in a temporary test platform. The definitive setup is being planned. The measurement was made in appropriated voltage range to show variations between the oscillators. Tab. 2 Voltage and frequency of oscillation. VDD OSC 67 Mean Freq. Variation khz 15% khz 14.6% khz 14.8% MHz 13.3% In our test chip, we designed a novel ring oscillator whose frequency is maximally sensitive to variations in a single type of transistors and minimally sensitive to other variation sources. For this, we introduced the use of NMOS-Only (or PMOS-Only) ring oscillators [15], with the inverter as an enhancement load/enhancement driver configuration. Figure 5 has an example of a three (3) stage NMOS-Only Ring Oscillator:

4 212 SIM th South Symposium on Microelectronics Fig. 5 - Three (3) stages NMOS-Only Ring Oscillator Usually, the variation in transistor threshold voltage is the strongest contributor to the measured fluctuations in the frequency of ring oscillators. Since this type of ring oscillator contains only NMOS or PMOS transistors, the output frequency variation will be only dependent respectively on NMOS or PMOS threshold voltage variation. In 65 nm technology, this circuit consists of a pull-up device (M2) that must be sized considerably more resistive than the pull-down device (M1). Consequently, for this circuit the sizes shown in Table 3 for M1 and M2 were chosen: Tab. 3 - transistor size M1 M2 W [ nm ] L W 1 =20000 W 2 =200 L 1 =100 L 2 =10000 The oscillation frequency of this type of RO is typically low (~20MHz), due to the large load and large size transistors. In Fig. 6, an example of the output waveform from the output inverter nodes for an asymmetric supply, e. g. VDD=1V e VSS=-0.2V: Fig. 6 - Output of a 3 stage RO extracted simulation Fig. 7 - Ring Oscillators layout The entire test structure includes 320 (5 x 64) Ring Oscillators of which: 64 NMOS-Only 3 stage ring oscillators, 64 PMOS-Only 3 stage ring oscillators, 64 CMOS 67-stage ring oscillators, 64 CMOS 101-stage ring oscillators, 64 CMOS 151-stage ring oscillators. Each group of oscillators has its individual supply pin. The layout of this structure including all types of ring oscillators and appropriate buffering measures 200 x 480 µm 2 as shown in Fig TEST CHIP OVERVIEW The design size of this test-chip (Fig. 8) is 1.58 mm x 1.58 mm (with pads, without scribe lines), or 1mm x 1mm core size, under 65 nm CMOS design rules. An array of 44 Pads for packaging is needed to access the internal test structures previously described.

5 SIM th South Symposium on Microelectronics 213 Fig. 8 - Complete layout of the test chip and photography of the chip Tab. 4 - Shows the area of all blocks included in the test chip, including the pads (which were obtained from the foundry library): Circuit Area [µm x µm] MOSFET Matrix 330 x 280 Ring Oscillator 200 x 480 Stacked-Pair Matrix version x 320 Stacked-Pair Matrix version x 155 µprobe Test Structures 2 x (930 x 280) 5. CONCLUSIONS The test structures that we developed for the local random variations characterization are composed of transistor arrays of identical size MOSFETs, ring oscillators and stacked-pair transistors. The simulations were done to verify the sensitivity of each structure to random local variations. Electrical measurements are indispensable for the complete validation of the test structures proposed in this chip. The measurements in our test vehicle are multiplexed for thousands of test transistors and pairs, using only 44 pads. The first measurements show variations between the frequency of ring oscillator with the same number of stages and same power supply. Currently the test platform and setup is being developed to allow an automatic measurement of all structures that are implemented on the chip.

6 214 SIM th South Symposium on Microelectronics 6. REFERENCES [1] Gary S. May,Costas J. Spanos. Fundamentals of semiconductor manufacturing and process control. John Wiley & Sons, Inc [2] Wilson R.; The dirty little secret: Engineers at design forum vexed by rise in process variations at the die level, EE Times, p. 1, Mar. 25, Web: [3] Kuhn, K. et al. Managing Process Variation in Intel s 45nm CMOS Technology. Intel Technology Journal, [S.l.], [4] S. Springer et al., Modeling of Variation in Submicrometer CMOS ULSI Technologies, IEEE Transactions on Electron Devices, vol. 53, Issue 9, pp , September [5] Asenov, A.; Brown, A.R.; Davies, J.H.; Kaya, S.; Slavcheva, G., "Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs," Electron Devices, IEEE Transactions on, vol.50, no.9, pp , Sept [6] Galup-Montoro, C.; Schneider, M.C.; Klimach, H.; Arnaud, A., "A compact model of MOSFET mismatch for circuit design," Solid-State Circuits, IEEE Journal of, vol.40, no.8, pp , Aug [7] Chung-Hsun Lin; Dunga, M.V.; Darsen Lu; Niknejad, A.M.; Chenming Hu, "Statistical Compact Modeling of Variations in Nano MOSFETs," VLSI Technology, Systems and Applications, VLSI-TSA International Symposium on, vol., no., pp , April [8] Wang, V.; Shepard, K.L., "On-chip transistor characterization arrays for variability analysis," Electronics Letters, vol.43, no.15, pp , July [9] Agarwal, K.; Liu, F.; McDowell, C.; Nassif, S.; Nowka, K.; Palmer, M.; Acharyya, D.; Plusquellic, J., "A Test Structure for Characterizing Local Device Mismatches," VLSI Circuits, Digest of Technical Papers Symposium on, vol., no., pp.67-68, [10] Agarwal, K.; Hayes, J.; Nassif, S., "Fast Characterization of Threshold Voltage Fluctuation in MOS Devices," Semiconductor Manufacturing, IEEE Transactions on, vol.21, no.4, pp , Nov [11] Bhushan, M.; Ketchen, M.B.; Polonsky, S.; Gattiker, A., "Ring oscillator based technique for measuring variability statistics," Microelectronic Test Structures, ICMTS IEEE International Conference on, vol., no., pp , 6-9 March [12] Rahul Rao; Jenkins, K.A.; Jae-Joon Kim, "A Completely Digital On-Chip Circuit for Local-Random- Variability Measurement," Solid-State Circuits Conference, ISSCC Digest of Technical Papers. IEEE International, vol., no., pp , 3-7 Feb [13] Wils, N.; Tuinhout, H.P.; Meijer, M., "Characterization of STI Edge Effects on CMOS Variability," Semiconductor Manufacturing, IEEE Transactions on, vol.22, no.1, pp.59-65, Feb [14] Test Circuit for Evaluating Characteristics Mismatch in Metal Oxide Semiconductor Field-Effect Transistor Pairs by Estimating Conductance Variation through Voltage Measurement Mamoru Terauchi and Kazuo Terada Jpn. J. Appl. Phys. 47 (2008) Gonzalez, Christopher J. (Shelburne, VT, US), Ramadurai, Vinod (Burlington, VT, US), Rohrer, Norman J. (Underhill, VT, US) 2008 Circuit and method to measure threshold voltage distributions in SRAM devices United States International Business Machines Corpo

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Sub-1 V Supply Nano-Watt MOSFET-Only Threshold Voltage Extractor Circuit

Sub-1 V Supply Nano-Watt MOSFET-Only Threshold Voltage Extractor Circuit Sub-1 V Supply Nano-Watt MOSFET-Only Threshold Voltage Extractor Circuit Oscar E. Mattia Microelectronics Graduate Program Federal University of Rio Grande do Sul Porto Alegre, Brazil oemneto@inf.ufrgs.br

More information

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization *

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * Simeon Realov, William McLaughlin, K. L. Shepard Department of Electrical Engineering, Columbia University

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY

A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY Hamilton Klimach, Moacir F. C. Monteiro Arthur L. T. Costa, Sergio Bampi Graduate Program on Microelectronics Electrical Engineering Department & Informatics

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology

Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology 109 Study of Transistor Mismatch in Differential Amplifier at 32 nm CMOS Technology V.S.Raju Mandapati 1, Nishanth P V 2 and Roy Paily 3 1 Dept of Electronics and Communication Engineering Indian Institute

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL ACQUISITION SYSTEM USING 180nm CMOS TECHNOLOGY

ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL ACQUISITION SYSTEM USING 180nm CMOS TECHNOLOGY International Journal of Electronics and Communication Engineering (IJECE) ISSN 2278-9901 Vol. 2, Issue 4, Sep 2013, 67-74 IASET ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Glasgow eprints Service

Glasgow eprints Service Cheng, B. and Roy, S. and Asenov, A. (2004) The impact of random doping effects on CMOS SRAM cell. In, 30th European Solid-State Circuits Conference (ESSCIRC 2004)., 21-23 September 2004, pages pp. 219-222,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): 2321-0613 Design & Analysis of CMOS Telescopic Operational Transconductance Amplifier (OTA) with

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 RESEARCH ARTICLE OPEN ACCESS LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 Abstract: This document introduces a switch design method

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

Variability in Sub-100nm SRAM Designs

Variability in Sub-100nm SRAM Designs Variability in Sub-100nm SRAM Designs Ray Heald & Ping Wang Sun Microsystems Ray Heald & Ping Wang ICCAD 2004 Variability in Sub-100nm SRAM Designs 11/9/04 1 Outline Background: Quick review of what is

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS

Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS Kelin J. Kuhn Intel Fellow Director of Logic Device Technology Portland Technology

More information

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Sanjeev kumar Singh, Vishal Moyal Electronics & Telecommunication, SSTC-SSGI, Bhilai, Chhatisgarh, India Abstract- The aim

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology

Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology Jhon Ray M. Esic, Van Louven A. Buot, and Jefferson A. Hora Microelectronics

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1 Design of Low Phase Noise Ring VCO in 45NM Technology Pankaj A. Manekar, Prof. Rajesh H. Talwekar Abstract: -

More information

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower Chih-Wen Lu, Yen-Chih Shen and Meng-Lieh Sheu Abstract A high-driving class-ab buffer amplifier, which consists of a high-gain

More information

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Akhilesh Goyal 1, Abhishek Tomar 2, Aman Goyal 3 1PG Scholar, Department Of Electronics and communication, SRCEM Banmore, Gwalior, India

More information

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES M.Ragulkumar 1, Placement Officer of MikrosunTechnology, Namakkal, ragulragul91@gmail.com 1. Abstract Wide Range

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 Objective: The objective of this laboratory experiment is to become more familiar with the operation of

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Micron MT9T Megapixel, ¼ Optical Format, 1.75 µm Pixel Size System-on-Chip (SOC) CMOS Image Sensor

Micron MT9T Megapixel, ¼ Optical Format, 1.75 µm Pixel Size System-on-Chip (SOC) CMOS Image Sensor Micron MT9T111 3.1 Megapixel, ¼ Optical Format, 1.75 µm Pixel Size System-on-Chip (SOC) CMOS Image Sensor Imager Process Review with Optional TEM Analysis of SRAM For comments, questions, or more information

More information

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit Qianying Tang 1, Xiaofei Wang 1, John Keane 2, and Chris H. Kim 1 1 University of Minnesota, Minneapolis, MN 2 Intel Corporation,

More information

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation

An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation An On-Chip NBTI Sensor for Measuring PMOS Threshold Voltage Degradation John Keane Tae-Hyoung Kim Chris H. Kim Department of Electrical Engineering University of Minnesota, Minneapolis, MN {jkeane, thkim,

More information

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier Kehul A. Shah 1, N.M.Devashrayee 2 1(Associative Prof., Department of Electronics and Communication,

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

ASYNCHRONOUS RAM ADDRESS TRANSITION DETECTION CIRCUIT

ASYNCHRONOUS RAM ADDRESS TRANSITION DETECTION CIRCUIT ASYNCHRONOUS RAM ADDRESS TRANSITION DETECTION CIRCUIT MR. HIMANSHU J. SHAH 1, ASST. PROF.VIRENDRASINGH TIWARI 2 1.MTech (Dc)Student,Department Of Electronics & Communication, Sagar Institute Of Research

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications Trindade, M. Helena Abstract This paper presents a Digital to Analog Converter (DAC) with 7 bit resolution and a sampling rate of 3.52 GHz to

More information

IN NANOSCALE CMOS devices, the random variations in

IN NANOSCALE CMOS devices, the random variations in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 9, SEPTEMBER 2005 1787 Estimation of Delay Variations due to Random-Dopant Fluctuations in Nanoscale CMOS Circuits Hamid Mahmoodi, Student Member, IEEE,

More information