Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Size: px
Start display at page:

Download "Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology"

Transcription

1 Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM, Gwalior, India 2Assistant Professor, Dept. of ECE, ITM, Gwalior, India 3Assistant Professor, Dept. of ECE, ITM, Gwalior, India ABSTRACT In the present day scenario, it has become a challenge to reduce static power dissipation as we are progressing towards the nano - scale domain. Inter-die and intra-die variations and varied short channel effects also come into existence. Innovative and holistic circuit level methodology is required to trade between area, power, frequency, robustness and quality while maintaining an ample manufacturing yield. An alternative solution to the bulky devices is the proposed FinFET device. Many approaches have been earlier to minimize the static power dissipation, but they were only able to minimize a fraction of leakage current. In this paper, we have proposed a MTCMOS technique and implemented it on FinFET based 6T SRAM cell. The proposed technique reduces the power dissipation significantly in stand-by mode. The simulation to observe variations in leakage current and leakage power was carried out in Cadence Virtuoso Tool at 45 nm technology. KEYWORDS SRAM, FinFET, MTCMOS, Leakage current, Leakage power. I. INTRODUCTION In modern nano-scale CMOS integrated circuits, leakage currents are important sources of power consumption. Continuous lowering of scaling technology day by day, results in shrinking of the feature sizes and miniaturization at chip level has occurred [1]. To store a large amount of digital information semiconductor memory arrays is required. The amount of memory is always depends on the application for which it is used. The need of Static Random Access Memories (SRAMs) is increasing day by day with huge use of SRAM in SoC and high performing VLSI circuits. CMOS circuits are scaled down continuously due to need of battery operated devices. These days Nano-scale CMOS based memory design are facing acute challenges like reduction in noise margin and rising variability. SRAMs are volatile in nature. By the use of CMOS technology designers have made several architectural level designs of chips for higher integration and faster operation. Low power operations have become an important feature for today s microprocessors. The most significant ways to meet these objectives are to design ultra-low power SRAM cells [2]. In standby mode the leakage current of the memory will get increased due to increase in the capacity due to which more power will be consumed. For high performance, these onchip memory cells are usually embedded with an array of highly dense packed SRAM cells. Static power dissipation caused due to standby leakage currents, is an important component of total power dissipation. Many electronics devices contain different types of component out of which many remain idle during a particular operation. Static power dissipation occurring in these idle components resembles a huge percentage of total power dissipation in the system. Therefore, minimization of this leakage component becomes essential for effective power management. II. FinFET In recent years FinFET technology redefined the entire chipset industry with newly implemented 3D transistors. FinFET, which is also known as Fin Field Effect Transistor, is a type of non-planar or 3D transistor used in the design of modern processors. The Berkeley researchers (Profs. Chenming Hu, Tsu- Jae King-Liu and Jeffrey Bokor) of California University invented the term FinFET, to define a non-planar, double-gate transistor built on ISSN: Page 303

2 an SOI substrate. In FinFET the conducting channel is encased by a thin silicon "fin", which forms the body of the device. The effective channel length of the device determined by the thickness of the fin which is valuated in the direction from source to drain. The most important property of the FinFET is as follows first the conducting channel is covered by thin silicon fin that forms the upper part of the device. The main channel length of the device is triggered by the width of the fin. FinFET has good control over the current because of multiple gates, which is use full in reducing the short channel effects and it reduces the Leakage Current which is present in Sub Threshold region of MOSFET devices [3]. FinFET has good cut-off characteristics and greater scalability [4]. III. CONVENTIONAL 6T FINFET SRAM CELL The structure of the conventional 6T SRAM Cell made of FinFETs is shown in Fig.1 The 6T SRAM cell is made by using six transistors (two PMOS and two NMOS), in which four transistors (two PMOS and two NMOS) form a latch and two NMOS are the pass transistors. The two PMOS (P1 and P2) and two NMOS (N1 and N2) form two inverters which are connected back to back. The other two NMOS transistors (N3 and N4) are the access transistors which are enabled through word line (WL). The two bit lines (BL and BLB) and word line (WL) are used for performing read and write operations [5]. The source terminals of access transistors are attached to bit lines (BL and BLB), and drain terminals are connected to latch inputs. Word line (WL) is connected to the gate terminal of access transistors N3 and N4 [6]. Access transistors are disabled, when the word line is low, and read or write operations cannot be performed at this time, and hold state is acquired. Access transistors are enabled when the word line becomes high, and read and write operations can be performed at this stage [7] ISSN: Page 304

3 WL Vdd P1 P2 N3 N4 N1 N2 BL GND BLB Fig 1: FinFET based 6T SRAM Cell IV. MTCMOS TECHNIQUE EMPLOYED 6T FINFET SRAM CELL MTCMOS is a multi-threshold CMOS technique. It is a technique where a high V t (threshold voltage) transistors are inserted between the power supply and logic circuit or between logic circuit and ground or both. This results in the creation of virtual supply or virtual ground respectively [8]. The logic circuit blocks consist of low V t transistors. The reason for imposing low V t components in logic blocks is fast switching speed and high V t header and footer to minimize the leakage. This technique is most effective in reducing standby leakage [9]. The structure of the MTCMOS technique employed 6T SRAM Cell made of FinFETs is shown in Fig.2. ISSN: Page 305

4 Vdd SLEEP WL P1 P2 N3 N4 N1 N2 BL BLB SLEEP Fig 2: MTCMOS Technique employed FinFET based 6T SRAM Cell V. RESULTS The simulation of of circuits having MTCMOS technique employed FinFET based 6T SRAM cell as its basic unit cell has been done in cadence virtuoso tool at 45 nm technology. The leakage parameter of MTCMOS technique employed FinFET based 6T SRAM cell has been calculated at different supply voltages of 0.6V, 0.7V, 0.8V and 0.9V. In figures the simulated Leakage Current and Leakage Power waveform of FinFET based 6T SRAM and simulation for reduced Leakage Current and Leakage Power waveform through MTCMOS technique are shown. ISSN: Page 306

5 Fig 3: Leakage Current of FinFET based 6T SRAM cell Fig 5: Leakage Current of FinFET based 6T MTCMOS SRAM cell Fig 4: Leakage Power of FinFET based 6T SRAM cell Fig 6: Leakage Power of FinFET based 6T MTCMOS SRAM cell ISSN: Page 307

6 Leakage Power Leakage Current International Journal of Engineering Trends and Technology (IJETT) Volume-42 Number-6 - December 2016 Table 1 COMPARISON of LEAKAGE CURRENT PARAMETER Voltage (V) 6T SRAM (fa) 6T MTCMOS SRAM (fa) T SRAM Voltage (Volts) Shows Table 1 in this table representing parameters of Conventional 6T FinFET SRAM, and MTCMOS based 6T FinFET SRAM and find that MTCMOS based 6T FinFET SRAM has reduced the value of Leakage Current. Table 2 COMPARISON of LEAKAGE POWER PARAMETER Fig 7: Graphical representation of Table 1. Shows Fig. 7 it represents a graph of Conventional 6T FinFET SRAM and MTCMOS based 6T SRAM technique at different supply voltages (0.6V, 0.7V,0.8 and 0.9V) and find that MTCMOS based 6T SRAM has a reduced graph of Leakage Current. 35 Voltage (V) 6T SRAM (nw) 6T MTCMOS SRAM (nw) T SRAM Voltage (Volts) Fig 8: Graphical representation of Table 2. Shows Table 2 in this table representing parameters of Conventional 6T FinFET SRAM and MTCMOS based 6T FinFET SRAM and find that MTCMOS based 6T FinFET SRAM has reduced the value of Leakage Power. Shows Fig. 8 it represents a graph of Conventional 6T FinFET SRAM and MTCMOS based 6T SRAM technique at different supply voltages (0.6V, 0.7V,0.8 and 0.9V) and find that MTCMOS based 6T SRAM has a reduced graph of Leakage Power. ISSN: Page 308

7 CONCLUSION In this paper we have designed simple 6T FinFET SRAM cell and MTCMOS technique employed 6T FinFET SRAM cell at 45 nm technology with the help of cadence virtuoso tool. It is observed that in MTCMOS technique employed 6T FinFET SRAM cell leakage current reduces to fa from fa, and leakage power reduces to nw from nw at 0.7V that shows in our proposed technique we get % less leakage current and % less leakage power.according to the these parameters and observing the above tables and graphs it is concluded that MTCMOS technique based 6T FinFET SRAM is better than the simple 6T FinFET SRAM because leakage current and leakage power reduction is better in MTCMOS based 6T FinFET SRAM. Journal of Computer Science and Information Technologies (IJCSIT), Vol. 3(3), 2012, [9] Dong Whee Kim, Jeong Beom Kee, LowPower Carry Look- Ahead Adder With MultiThreshold Voltage CMOS Technology, in Proceeding of ICSICT International Conference on Solid-State and Integrated Circuit Technology, pp , 2008 ACKNOWLEDGEMENT This work has been supported by ITM University, Gwalior in collaboration with Cadence virtuoso Design System, Bangalore India. REFERENCES [1] Azizi,N., NajmF.N and Moshovos A, "Low-leakage asymmetric-cell SRAM,", IEEE Transactions on Very Large Scale Integration (VLSI) System, vol. 11, no. 4, pp , [2] M. Alioto, Ultra low power VLSI circuits design demystified and explained: A tutorial, IEEE Trans. Circuits Syst. I, Reg. Papers, Vol. 59, no.1, pp. 3-29, [3] Viadyanathan Subramanian, Multiple Gate Field-Effect Transistors for Future CMOS Technologies, IETE Technical review, vol. 27, issue. 6, pp , [4] K. Okano, T. Izumida, H. Kawasaki, A. Kaneko, A. Yagishita, T. Kanemura, M. Kondo, S. Ito, N. Aoki, K. Miyano, T. Ono, K. Yahashi, K. Iwade, T. Kubota, T. Matsushita, I. Mizushima, S. Inaba, K. Ishimaru, K. Suguro, K. Eguchi, Y. Tsunashima and H. Ishiu, Process Integration Technology and Device Characteristics of CMOS FinFET on Bulk Silicon Substrate with sub-10 nm Fin Width and 20 nm Gate Length, IEEE international conference on IEDM technical digest, pp , [5] Gupta And M. Anis, Statistical Design Of The 6T SRAM Bit Cell, IEEE Trans. Circuits Syst.- I, Vol. 57, No. 1, Pp , Mar [6] Pankaj Agarwa, Nikhil Saxena, Nikhita Tripathi, Low Power Design and Simulation of 7T SRAM Cell using various Circuit Techniques, International Journal of Engineering Trends and Technology (IJETT) - Volume4Issue5- May [7] PN Vamsi Kiran, Nikhil Saxena, Design and analysis of different types SRAM cell topologies, Electronics and Communication Systems (ICECS), nd International Conference on, pp , [8] Phanikumar M and N. Shanmukha Rao, A Low Power and High Speed Design for VLSI Logic Circuits Using Multi- Threshold Voltage CMOS Technology, International ISSN: Page 309

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Mohammad Mudassir 1, Vishwas Mishra 2 and Amit Kumar 3 1 Research Scholar, M.Tech RF and Microwave, SITE, SVSU, Meerut (UP) INDIA,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN M. Manoranjani 1 and T. Ravi 2 1 M.Tech, VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Design of 2-bit Full Adder Circuit using Double Gate MOSFET

Design of 2-bit Full Adder Circuit using Double Gate MOSFET Design of 2-bit Full Adder Circuit using Double Gate S.Anitha 1, A.Logeaswari 2, G.Esakkirani 2, A.Mahalakshmi 2. Assistant Professor, Department of ECE, Renganayagi Varatharaj College of Engineering,

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles

Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Volume 7, PP 13-18 www.iosrjen.org Comparative Analysis of Fine Based 1 Bit Full Adder for Different Logic Styles Mahalaxmi

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Booth Multiplier Implementation Using Low Power Finfet Technique

Booth Multiplier Implementation Using Low Power Finfet Technique Volume 5 Issue 1 March 2017 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Amit Rajawat M. Tech. Research Scholar ITM University Gwalior

More information

Efficient VCO using FinFET

Efficient VCO using FinFET Indian Journal of Science and Technology, Vol 8(S2), 262 270, January 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI:.10.17485/ijst/2015/v8iS2/67807 Efficient VCO using FinFET Siddharth Saxena

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Performance analysis of Modified SRAM Memory Design using leakage power reduction

Performance analysis of Modified SRAM Memory Design using leakage power reduction Performance analysis of Modified Memory Design using leakage power reduction 1 Udaya Bhaskar Pragada, 2 J.S.S. Rama Raju, 3 Mahesh Gudivaka 1 PG Student, 2 Associate Professor, 3 Assistant Professor 1

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

Performance of CMOS and DTMOS Sense Amplifier for SRAM Application for Different Topologies

Performance of CMOS and DTMOS Sense Amplifier for SRAM Application for Different Topologies P IJISET - International Journal of Innovative Science, Engineering & Technology, Vol. 4 Issue 7, July 2017 ISSN (Online) 2348 7968 Impact Factor (2016) 5.264 www.ijiset.com Performance of CMOS and DTMOS

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder Y L V Santosh Kumar, U Pradeep Kumar, K H K Raghu Vamsi Abstract: Micro-electronic devices are playing a very prominent role in electronic

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology. ISSN: 2454-132X Impact factor: 4.295 (Volume3, Issue6) Available online at www.ijariit.com Implementation of Pull-Up/Pull-Down Network for Energy Optimization in Full Adder Circuit P. Aarthi Assistant

More information

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain

Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in Multi Voltage Domain Indian Journal of Science and Technology, Vol 7(S6), 82 86, October 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Voltage Conversion Range of Multiple Level Shifter Design in

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Performance Analysis of SRAM Cell Using DG-MOSFETs

Performance Analysis of SRAM Cell Using DG-MOSFETs Performance Analysis of SRAM Cell Using DG-MOSFETs Mukeem Ahmad Abhinav Vishoni School of ECE (VLSI), Lovely Professional University,Phagwara, Punjab-144401 Abstract As the technology in electronic circuits

More information

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence L.Vasanth 1, D. Yokeshwari 2 1 Assistant Professor, 2 PG Scholar, Department of ECE Tejaa Shakthi Institute of Technology

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES M.Ragulkumar 1, Placement Officer of MikrosunTechnology, Namakkal, ragulragul91@gmail.com 1. Abstract Wide Range

More information

Design of Full Adder Circuit using Double Gate MOSFET

Design of Full Adder Circuit using Double Gate MOSFET Design of Full Adder Circuit using Double Gate MOSFET Dr.K.Srinivasulu Professor, Dept of ECE, Malla Reddy Collage of Engineering. Abstract: This paper presents a design of a one bit cell based on degenerate

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

A Low Power High Speed Adders using MTCMOS Technique

A Low Power High Speed Adders using MTCMOS Technique International Journal of Computational Engineering & Management, Vol. 13, July 2011 www..org 65 A Low Power High Speed Adders using MTCMOS Technique Uma Nirmal 1, Geetanjali Sharma 2, Yogesh Misra 3 1,2,3

More information

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique

An Efficient and High Speed 10 Transistor Full Adders with Lector Technique IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. II (Sep.- Oct. 2017), PP 68-73 www.iosrjournals.org An Efficient and

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Power Optimization for Ripple Carry Adder with Reduced Transistor Count

Power Optimization for Ripple Carry Adder with Reduced Transistor Count e-issn 2455 1392 Volume 2 Issue 5, May 2016 pp. 146-154 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Power Optimization for Ripple Carry Adder with Reduced Transistor Count Swarnalika

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design of Operational Amplifier in 45nm Technology

Design of Operational Amplifier in 45nm Technology Design of Operational Amplifier in 45nm Technology Aman Kaushik ME Scholar Dept. of E&CE, NITTTR Chandigarh Abstract-This paper presents the designing and performance analysis of Operational Transconductance

More information

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 415-424 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

PERFORMANCE AND ANALYSIS OF ULTRA DEEP SUB MICRON TECHNOLOGY USING COMPLEMENTRY METAL OXIDE SEMICONDUCTOR INVERTER

PERFORMANCE AND ANALYSIS OF ULTRA DEEP SUB MICRON TECHNOLOGY USING COMPLEMENTRY METAL OXIDE SEMICONDUCTOR INVERTER PERFORMANCE AND ANALYSIS OF ULTRA DEEP SUB MICRON TECHNOLOGY USING COMPLEMENTRY METAL OXIDE SEMICONDUCTOR INVERTER Shikha Goswami 1 and Shyam Akashe 2 1 Research Scholar M.tech VLSI, ITM University, Gwalior,

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Chandni jain 1, Shipra mishra 2 1 M.tech. Embedded system & VLSI Design NITM,Gwalior M.P. India 474001 2 Asst Prof. EC Dept.,

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS

A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS A COMPARATIVE ANALYSIS OF AN ULTRA-LOW VOLTAGE 1-BIT FULL SUBTRACTOR DESIGNED IN BOTH DIGITAL AND ANALOG ENVIRONMENTS Suchismita Sengupta M.Tech Student, VLSI & EMBEDDED Systems, Dept. Of Electronics &

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Design and Implementation of High Speed Sense Amplifier for Sram

Design and Implementation of High Speed Sense Amplifier for Sram American-Eurasian Journal of Scientific Research 12 (6): 320-326, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.320.326 Design and Implementation of High Speed Sense Amplifier

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

International Journal of Engineering Trends and Technology (IJETT) Volume 45 Number 5 - March 2017

International Journal of Engineering Trends and Technology (IJETT) Volume 45 Number 5 - March 2017 Performance Evaluation in Adiabatic Logic Circuits for Low Power VLSI Design Tabassum Ara #1, Amrita Khera #2, # PG Student [VLSI], Dept. of ECE, Trinity stitute of Technology and Research, Bhopal, RGPV

More information

Power Efficient D Flip Flop Circuit Using MTCMOS Technique in Deep Submicron Technology

Power Efficient D Flip Flop Circuit Using MTCMOS Technique in Deep Submicron Technology Efficient D lip lop Circuit Using MTCMOS Technique in Deep Submicron Technology Abhijit Asthana PG Scholar in VLSI Design at ITM, Gwalior Prof. Shyam Akashe Coordinator of PG Programmes in VLSI Design,

More information

Design and Optimization Low Power Adder using GDI Technique

Design and Optimization Low Power Adder using GDI Technique Design and Optimization Low Power Adder using GDI Technique Dolly Gautam 1, Mahima Singh 2, Dr. S. S. Tomar 3 M.Tech. Students, Department of ECE, MPCT College, Gwalior, Madhya Pradesh, India 1-2 Associate

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information