Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Size: px
Start display at page:

Download "Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project"

Transcription

1 Feature-level Compensation & Control Sensors and Control September 15, 2005 A UC Discovery Project

2 2 Current Milestones Integrated sensor platform development 2 (M26 YII.16) Gather CMP and etching rate data and correlate with process variables. Complete preliminary experimental study for CD non-uniformity reducing across the litho-etch sequence (M27 YII.17) Assess predictive capability of mode, and build optimizing software to compute optimal changes in control parameters. Provide proof of concept test of CD non-uniformity reduction scheme based on direct CD metrology. Zero-footprint Optical Metrology Wafer (Milestone Added, YII.18) Evaluate and calibrate dielectric thickness monitoring (resolution, sensitivity, and stability). Metal etch endpoint and pre-endpoint (<50nm) detection and monitoring. Testing the prototype metrology wafer in vacuum environment. Using Spatial CD Correlation in IC Design (M30 Major Rev., YII.19) Initial experiments on test structures and measurement for extracting spatial correlation characteristics. Aerial Image Metrology (M31 YII.20) Integrate prototype transducer for use and deployment on a silicon wafer.

3 3 Zero-Footprint Optical Metrology Wafer Student(s): Vorrada Loryuenyong and ZhongSheng Luo* PI. Professor Nathan Cheung * Currently at KLA-Tencor Prototype and develop methodology for in-situ process monitoring with zero-footprint metrology wafer.

4 Main Objectives Evaluate and calibrate dielectric thickness monitoring (resolution, sensitivity, and stability). [Resolution and sensitivity analysis completed] Testing the prototype metrology wafer in vacuum environment. [Completed] Metal etch endpoint and pre-endpoint (<50nm) detection and monitoring. [In progress]

5 5 3x3 pixel Zero-Footprint Metrology Wafer RPD LED Detecting Window PPD Top Wafer Backside Contact Via Sketch of the cross-section of the prototype. Bottom Wafer

6 6 Pixel-to-Point Transfer 1. Pick-up of the pixel 2. Release of the growth substrate:laser Liftoff 3. Registration of the LED pixel to the target substrate Pick-up Rod Adhesive 1 Pd-In Sapphire Laser beam LED 4. Selective removal of the pick-up rod Target Substrate

7 Film to be grown/etched R R θ i Reference Photo- Detector (RPD) PPD reading (a.u.) R P Air (Slope= ) Water (Slope= ) P.R. (Slope= ) 7 Methodology Dielectric Window LED Primary Photo- Detector (PPD) RPD reading (a.u.) R F F = P ( δv R R P 0 P 0 P / δv R ( δv ) ( δv P / δv A new function F is defined to eliminate non-measurable constants: Errors due to misalignment of optical components and Variation in the detector circuits, photo-detectors, and light intensity F function depends on incident angle, wavelength, refractive index and thickness. R P ) / δv 0 R ) 0

8 Calibration of the Prototype with a Plasma Etch Process of Silicon Oxide Experimental Fitted λ (nm) Measured 463 (peak) Extracted n.a θ i ( ) n.a. 56 F(θ) -0.4 d w (nm) 650 ± (n w, k w ) (n f, k f ) (2.054,0)* (1.464,0)* n.a. n.a Oxide Thickness (nm) *D.L. Windt, IMD Software. The good fit between experimental data and calculation demonstrated that the methodology worked as expected. As expected, effective incident angle, detection window thickness and even effective incident wavelength can be determined by a calibration process.

9 F(θ) F as a function of incident angle at different refractive index n=1.0, k=0 n=1.3, k=0 n=1.6, k=0 n=1.9, k=0 n=2.2, k=0 n=2.5, k=0 Simulation Condition: Vacuum ambient, infinity thickness for thin film, nitride window thickness 649nm, LED peak wavelength 463nm. *D.L. Windt, IMD Software Incident Angle (Degree) F is a function of refractive index and incident angle. The effective incident angle can be precisely determined by using media with tunable refractive index.

10 10 Dependence of F function on incident wavelength (λ) and window thickness F(θ) λ=463nm d w =659nm λ=468nm d w =649nm λ=463nm d w =639nm λ=463nm d w =649nm Simulation Condition: Vacuum ambient, and incident angle of 60, nitride window thickness, LED peak wavelength 463nm. *D.L. Windt, IMD Software λ=458nm d w =649nm Oxide Thicknesss (nm) Both wavelength and detecting window thickness have similar effects on the F function 10 nm change in detecting window thickness = 5 nm change in incident wavelength

11 Polishing fixture Metal layer e.g. Cu 11 Metal CMP Endpoint Detection Setup Polishing pad Data Acquisition System Simulation Condition: Vacuum ambient, nitride window thickness 649nm, LED peak wavelength 463nm, the refractive index of Cu*: n=1.16,k=2.43. Slurry F(θ) Detection Window Metrology wafer 80Deg 70Deg 40Deg 60Deg 50Deg *D.L. Windt, IMD Software Cu Thickness (nm)

12 12 Work in Progress and Proposed Work Evaluate and calibrate the stability of dielectric thickness monitoring. Demonstrate Metal etch endpoint and preendpoint (<50nm) detection and monitoring. Model and demonstrate monitoring of thin-film thickness roughness. Prototyping of wireless data acquisition/transmission and evaluate performance with measurements taken in processing systems.

13 Workshop Student(s): Jing Xue Faculty: Costas Spanos Title: Integrated Aerial Image Sensor (IAIS)

14 light Mask Image system 14 Motivation Defocus Lens aberration Partial Coherence Magnification CD Uniformity Aerial Image Sensor Aerial image Latent image Resist image Wafer Sensor on equipment

15 Main Objective Complete design of transducer capable of nm-scale aerial image resolution Integrate prototype transducer for use and deployment on a silicon wafer Complete the micro-assembly of the commercial CCD with the Si carrier wafer; Integrate the aperture mask and the CCD arrays Complete the IAIS working prototype with front-illu. CCD, and test IAIS in GCAWS/ASML stepper in Berkeley Micro-lab Complete the aerial image and detector image reconstruction; Complete the over-topography simulation of the aberration part

16 16 Integrated Aerial Image Sensor (IAIS) Concept High spatial frequency aerial image ( m + n) P + x x Aperture mask transmission Low spatial frequency detector signal

17 17 IASI Design Aperture Mask nm 30nm 50nm 90nm 70nm nm 90nm 70nm 60nm m n width(nm ) Max & min intensity vs. aperture thickness and width width(nm ) C DIC vs. aperture thickness and width contrast width(nm) Aperture mask thickness in the range of 70nm & aperture mask width in the range of 30nm contrast m ax current m in current 0.90 detector noise width(nm ) photocurrent(pa)

18 18 Summary of Aperture Mask Design for 130nm Periodic AI (65nm nodes) w a W t W i Wd W g l α -Si t w a = 30 nm n = 154 t = 70 nm l = 20 µ m m N = 307 = 21 = x 5 nm W W 3.1µ m = P = 130nm = µ m d mp i d = ( m + 1) P + x W W t w g a = µ m = µ m

19 19 IAIS Modeling Aerial Image and Detector Image Reconstruction: 88 coherence groups Aerial Image Detector Image Annular Illumination: σ = 0.89/0.59 NA=0.85, PSM, CD = 65nm

20 20 Defocus Testing: IAIS Modeling TM TM TE TE (a) (c) (b) (d) Dipole Illumination: σ = 0.3, NA= 0.78, Attenuated PSM, CD =90nm (a) Illumination discretizing ( 2 points illustration); (b) Aerial image intensity with defocus (c) Detector Image intensity with defocus; (d) Integrated intensity of detector Image vs. defocus

21 IAIS Modeling Defocus Testing (Focus vs. Contrast): Aerial Im age Contrast vs. Defocus Detector Im age Contrast vs. Defocus Contrast Image Contrast Image Contrast Defocus (µ m) Defocus Contrast Change % % % % % C o n t r a s t C h a n g e v s. D e f o c u s D e t e c t o r i m a g e c o n t r a s t C h a n g e = A e r i a l i m a g e c o n t r a s t Dipole Illumination: s = 0.3, NA= 0.78, Attenuated PSM, CD =90nm Aperture mask: w a = 40nm, t = 90nm 5 0 % D e f o c u s ( µ m ) Aperture mask improve the contrast value as defocus, making the aberration detecting easy and meaningful

22 Wafer Reconstituting: 22 IAIS Assembly Si Substrate SiO 2 CCD Polymer ARC Dyed PMMA

23 Flip-Chip Bonding: 23 IAIS Assembly Solder bump Wire bonding pad on the CCD chip Si Substrate Amorphous Si SiO 2 CCD chip Flip-chip Bonding

24 Workshop Student(s): Paul Friedberg, Willy Cheung Faculty: Costas J. Spanos Title: Modeling Gate Length Spatial Variation for Process/Design Co-Optimization

25 25 Motivation Manufacturing-induced variation in device parameters leads to variability in circuit performance Two approaches to address this concern: Tailor IC design to minimize sensitivity to parameter variation Use process control to reduce manufacturing variation Both approaches can be investigated through Monte Carlo analysis of canonical circuits Various design styles can tested for susceptibility to variation Hypothetical control scenarios can be mapped directly into circuit performance space to determine robustness For accurate, useful predictions, Monte Carlo framework must model reality very well Specific focus of this work: spatial variation effects (correlation)

26 Main Objective Milestone M30: Spatial CD Correlation in IC Design Extract within-die spatial variation components from dense gate length measurements (historical study) Investigate effects of spatial variation on circuit performance variability using Monte Carlo framework based on historical study results Design new test structures to explore mid-range ( micron) spatial variability Submit new test structures for manufacture; gather measurements from fabricated test structures

27 27 Departure Point: Spatial Correlation Calculation Exhaustive ELM poly-cd measurements (280/field): Standardize each CD measurement, using wafer-wide distribution: z i = ( x x) / σ i For each spatial separation considered, calculate correlation r among all within-field pairs of points using: ( ) jk = z j * z k / n r ELM data provided by Jason Cain, UC Berkeley

28 Spatial Correlation Results Within-field correlation vs. horizontal/vertical distance, evaluated for entire wafer: 28 Shape of correlation curve is confounded by non-stationary (systematic) components of variation

29 29 Decomposition of Nonstationary Variation Components CD variation can be thought of as nested systematic variations about a true mean: CD ij = µ + f i + w j + σ Spatial components True mean Across-field Across-wafer Random Wafer Field

30 30 WIF Systematic Variation Component Within-field variation: - = Slit Scan Average Field Scaled Mask Errors Non-mask related acrossfield systematic variation Polynomial model of across-field systematic variation Removing this component of variation will simulate WIF process control

31 31 AW Systematic Variation Component Across-wafer variation: - - Average Wafer Scaled Mask Errors Across-Field Systematic Variation = Across-Wafer Systematic Variation Polynomial Model Removing this component of variation will simulate AW process control

32 32 Die-to-Die Dose Control One more round of control: die-to-die (D2D) dose control - =

33 33 Simulated Full Process Control Removing WIF, AW, and D2D variation components: Large(mm)-scale spatial correlation is largely accounted for by systematic variation; smaller(µm)-scale correlation may still have structure, to be investigated in future work

34 34 Test Structure for Mid-Range CD Variation 2x10 Probe frame: 100um x 100um pads, 150um pitch Dense ELM base case test structure:

35 Variant ELM Submodules Dummy lines used to extend measureable range, explore effects of pattern density and regularity 35

36 Workshop Student(s): Qiaolin(Charlie) Zhang Faculty: Kameshwar Poolla, Costas Spanos Title: CD Uniformity Control Across Litho-etch Sequence

37 37 Motivation Across-wafer CD uniformity (CDU) is critical for Advanced logic devices, MPU and memory Yield improvement Etch tool sets have limited control authority to address spatial non-uniformity Dual-zone He chuck is often the only knob Litho tool sets have much more control authority to address spatial non-uniformity Multi-zone PEB bake plate Variable dose settings at exposure

38 Main Objectives Build process models for PEB step: (done) CD offset model & temperature offset model Assess potential DI & FI CDU improvement (done) Based on CD offset model Based on temperature offset model Expand CDU control concept to simultaneous CDU control for multiple CD targets (new) Experimentally extract baseline CD signature of dense, iso and semi-iso CD targets Formulate simultaneous CDU control as a minimax optimization problem Experimentally verify DI & FI CDU improvement using our approach (ongoing)

39 39 The Problem Wafer Litho Etch Processing Tool Poor Across-Wafer CD Uniformity How can we improve the across-wafer CDU?

40 40 Our Approach Compensate for systematic across-wafer CD variation sources across the litho-etch sequence using all available control authority : Exposure step: die to die dose PEB step: temperature of multi-zone bake plate Etch: backside pressure of dual-zone He chuck Exposure PEB / Develop Etch dose temperature He pressure Optimizer Wafer-level CD Metrology Scatterometry/CDSEM

41 41 Multi-zone PEB Bake Plate PEB step is critical due to chemically amplified resist Spatially programmable bake plate is introduced into PEB to enable PEB temperature uniformity Schematic setup of multi-zone bake plate (approximate) 2 4

42 42 Develop Inspection (DI) CDU Control DI CD is a function of zone offsets T T1 g1 = =... T m g m CD DI T = = T T ( O, O... O ) 1... ( ) O1, O2... O7 S T resist baseline CD baseline CD DI CD =... CD 1 n f = f Seen as a constrained nonlinear programming problem 1 n ( O, O... O ) ( ) O1, O2... O7 CDDI CD t Minimize arget 2 Low Up Subject to: O O O i i = 1,2...7

43 43 Simulation Results of DI CDU Control Dense Line Semi-isolated Line Isolated Line Experimentally extracted baseline DI CDU Simulated optimal DI CDU after applying PEB tuning Dense Line Semi-isolated Line Isolated Line CDU Improvement 72% 61% 69%

44 44 Final Inspection (FI) CDU Control Across-wafer FI CD is function of zone offsets Plasma etch signature: CD p _ s = CD FI CD DI Assumed bowl shape plasma etch signature CD FI = CD DI + CD p _ s = g g 1 n ( O 1 ( O 1, O... 2, O 2... O 7... O 7 ) ) Minimize: CDFI CD t Low Up Subject to: O Oi O i = 1, arget 2

45 45 FI CDU Control Simulation - Bowl Plasma Signature Simulated baseline FI CD Dense Semi-isolated Isolated Simulated corrected DI CD after PEB tuning Simulated optimal FI CD after PEB tuning Note that DI CDU may actually worsen! Dense Semi-isolated FI CDU Improvement 68% 57% Isolated 65%

46 46 Simultaneous CDU Control for Multiple CD Targets It is good to have simultaneous CDU control for multiple CD targets Formulated as a minimax optimization problem Minimax finds optimal offsets O opt = arg min (max( Wi F O i i ( O))) F i = CD i CD _ T i 2 W i is the weighting factor for CD target i

47 47 Simultaneous CDU Control for Multiple CD Targets Simulation of simultaneous CDU control for dense, semi-iso and iso lines Wd =0.36; Ws =0.33 ; Wi =0.31 Wd = 0.90; Ws =0.05 ; Wi =0.05 Wd = 0.05; Ws =0.90 ; Wi =0.05 Wd = 0.05; Ws =0.05 ; Wi =0.90 Simulated baseline FI CD Dense Line 62.9% 66.8% 48.2% 60.1% Semi-iso Line 44.7% 15.9% 54.7% 32.4% Iso Line 58.4% 61.8% 54.1% 62.6% Dense Semi-isolated Isolated Simulated optimal FI CD after PEB tuning

48 48 Future Milestones (Year 3) Zero-footprint Optical Metrology Wafer (SENS Y3.1) Modeling and demonstration of metrology wafer for detection and thin-film roughness monitoring. Initiate prototyping of wireless data acquisition/transmission and evaluate performance with measurements made in experimental systems. Complete experimental study for CD non-uniformity reducing across the litho-etch sequence (SENS Y3.2) Experimentally verify DI & FI CDU improvement using model based optimal control of PEB with various CD objective functions. Using Spatial CD Correlation in IC Design (SENS Y3.3) Perform spatial variation analysis and incorporate results into Monte Carlo framework. Evaluate impact of updated variation/correlation models on circuit performance variability using Monte Carlo Framework. Aerial Image Metrology (SENS Y3.4) Complete the micro-assembly of the commercial CCD with the Si carrier wafer. Integrate the aperture mask and the CCD arrays.

49 49 Future Milestones (Year 4) Integrated sensor platform development 4 (M54) Incorporate optical spectroscopy capability with optical filters integration. Final phase of CD uniformity control project (M55) Complete study of feed-forward and feedback based schemes for process/equipment control to enhance feature level pattern transfer. Study various control architectures in terms of sensor integration, implementation cost, and expected benefit. Real time feature-level test structures (M58) Develop feature-level test structures that can be monitored for real-time insight in their evolution. Examples include real-time etch-rate monitors that are subject to micro-loading.

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Wireless Metrology in Semiconductor Manufacturing

Wireless Metrology in Semiconductor Manufacturing 1 Wireless Metrology in Semiconductor Manufacturing Costas J. Spanos Seminar 2 Outline Historical perspective Hardware and software applications Breakthroughs that have yet to be realized Distributed control

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Kalman Filtering Methods for Semiconductor Manufacturing

Kalman Filtering Methods for Semiconductor Manufacturing Kalman Filtering Methods for Semiconductor Manufacturing Kameshwar Poolla Mechanical Engineering Electrical Engineering University of California Berkeley Outline Kalman Filtering Overview Ingredients Applications

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University Resist Features on Poly Pattern Transfer Poly Features on Oxide CD-AFM The Critical Dimension AFM Boot -Shaped Tip Tip shape is optimized to sense topography on vertical surfaces Two-dimensional feedback

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging M. Asghari Kotura Inc April 27 Contents: Who is Kotura Choice of waveguide technology Challenges and merits of Si photonics

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Zeta-300 3D OPTICAL PROFILER

Zeta-300 3D OPTICAL PROFILER Zeta-300 3D OPTICAL PROFILER Technology Toolkit Developed in 2007, the revolutionary Confocal Grid Structured Illumination (CGSI) is the powerful technology in all Zeta Optical Profilers but in a Zeta,

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

PROJECT. DOCUMENT IDENTIFICATION D2.2 - Report on low cost filter deposition process DISSEMINATION STATUS PUBLIC DUE DATE 30/09/2011 ISSUE 2 PAGES 16

PROJECT. DOCUMENT IDENTIFICATION D2.2 - Report on low cost filter deposition process DISSEMINATION STATUS PUBLIC DUE DATE 30/09/2011 ISSUE 2 PAGES 16 GRANT AGREEMENT NO. ACRONYM TITLE CALL FUNDING SCHEME 248898 PROJECT 2WIDE_SENSE WIDE spectral band & WIDE dynamics multifunctional imaging SENSor ENABLING SAFER CAR TRANSPORTATION FP7-ICT-2009.6.1 STREP

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. C M P C h a r a c t e r I z a t I o n S o l u t I o n s 200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. 2920 Scott Blvd., Santa Clara, CA 95054 Tel: 408-919-0094,

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by Supporting online material Materials and Methods Single-walled carbon nanotube (SWNT) devices are fabricated using standard photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited

More information

x-ray Beam Size Monitor

x-ray Beam Size Monitor x-ray Beam Size Monitor J. Alexander, N. Eggert, J. Flanagan, W. Hopkins, B. Kreis, M. McDonald, D. Peterson, N. Rider Goals: 2 products: tuning tool with rapid feedback of beam height during LET measurements

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Sheng Liu and I. Charles Ume* School of Mechanical Engineering Georgia Institute of Technology Atlanta, Georgia 3332 (44) 894-7411(P)

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

Nano-structured superconducting single-photon detector

Nano-structured superconducting single-photon detector Nano-structured superconducting single-photon detector G. Gol'tsman *a, A. Korneev a,v. Izbenko a, K. Smirnov a, P. Kouminov a, B. Voronov a, A. Verevkin b, J. Zhang b, A. Pearlman b, W. Slysz b, and R.

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Challenges in Imaging, Sensors, and Signal Processing

Challenges in Imaging, Sensors, and Signal Processing Challenges in Imaging, Sensors, and Signal Processing Raymond Balcerak MTO Technology Symposium March 5-7, 2007 1 Report Documentation Page Form Approved OMB No. 0704-0188 Public reporting burden for the

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Micromachined Floating Element Hydrogen Flow Rate Sensor

Micromachined Floating Element Hydrogen Flow Rate Sensor Micromachined Floating Element Hydrogen Flow Rate Sensor Mark Sheplak Interdisciplinary Microsystems Group Mechanical and Aerospace Engineering Department University of Florida Start Date = 09/30/04 Planned

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Bringing Answers to the Surface

Bringing Answers to the Surface 3D Bringing Answers to the Surface 1 Expanding the Boundaries of Laser Microscopy Measurements and images you can count on. Every time. LEXT OLS4100 Widely used in quality control, research, and development

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G APPLICATION NOTE M06 attosnom I: Topography and Force Images Scanning near-field optical microscopy is the outstanding technique to simultaneously measure the topography and the optical contrast of a sample.

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information