Progress of Optical Design for EUV Lithography Tools in BIT

Size: px
Start display at page:

Download "Progress of Optical Design for EUV Lithography Tools in BIT"

Transcription

1 2014 EUVL Workshop Progress of Optical Design for EUV Lithography Tools in BIT Yanqiu Li*, Zhen Cao, Fei Liu, Qiuli Mei, Yan Liu Beijing Institute of Technology, China June 25, 2014

2 OUTLINE Introduction Design of EUV projection objective Grouping design method Design of co-axial objective systems Design of off-axial objective systems Design of EUV illuminator Reverse design/adjustment method Design results Acknowledgment 2

3 Introduction Constraints for Objective High resolution Almost none distortion Telecentricity on image side Accessible Stop Working distance Low aspheric departure Constraints for illuminator pupil matching high uniformity at the arc-shaped field Small angle of incidence Chief ray angle at mask Total Tack 3

4 Introduction Trend of NA for projection objective ADT & NXE 3100 NEX nm node 8nm node RES HP 45nm 32nm 22nm 16nm 11nm NA NA NA NA NA NA NA NA NA k1 NA * New design forms are needed! * New design strategy is required! 4

5 Introduction What is new in this year s presentation? I Design of co-axial objective systems 1. 6M objective with central obscuration (NA0.5) 2. 8M unobscured objective (NA0.4) 3. 10M objective with central obscuration (NA0.75) II Design of off-axial objective systems 6M unobscured objective (NA0.4) III Design of EUV illuminator 5

6 Grouping Design for EUVL Objective Parameter calculation condition Non-Obstruction condition Obscuration ratio condition Pupil-stop condition Conjugation condition Spherical initial structure Connecting rules Grouping strategy (GS) GS for 6-mirror objective GS for 8-mirror objective GS for 10-mirror objective Basic Group Database Object side group Image side group Obscured image side group Middle two mirrors group Middle four mirrors group 6

7 Five Kinds of Mirror Groups Basic Mirror Groups Object side group (G1) MASK M1 M2 Image side group (G3) Wafer Obsc-image group (G3 ) Wafer Middle two-mirror group (G2) M3 Middle four-mirror group (G2 ) M l M m M n M4 M k Basic mirror groups Connect All-sphere initial structure 7

8 6M unobscured objective: Grouping Strategy Mask G1 G3 M2 M1 M3 M6 M5 Wafer G1: M1, M2 G2: M3, M4 G3: M5, M6 M4 G2 6M objective with central obscuration: G1 : M1, M2 G2 : M3, M4 G3 : M5, M6 Mask M2 M4 G1 M1 G2 M3 M6 G3' Wafer M5 8

9 8M unobscured objective Mask M2 G1 M1 M6 M4 Grouping Strategy G2' M3 M5 M8 G3 M7 Wafer 10M objective with central obscuration Mask G1 G1 :M1, M2 G2 :M3, M4, M5, M6 M2 M1 G3 :M7, M8 G3 :M9, M10 M4 G2' G1 :M1, M2 G2 :M3, M4, M5, M6 G3 :M7, M8 M3 M6 M8 M5 G3' M7 G3' M10 M9 Wafer 9

10 Parameter Calculation Condition Non-obstruction condition: The radius of one mirror can be expressed as a function of the clearance. Clearance: The distance between the edge of a mirror and the beam near it. U ai hai ha0 the slope angle of the upper marginal ray on the ray height of the upper marginal ray on the ray height of the ray beam near Mi 1 M i M i c i h ai ha 0 CLi di U arctan / ai sin( ) hai

11 Parameter Calculation Condition Obscuration Ratio condition: The radius of one mirror can be expressed as a function of the ratio of hole to whole mirror diameter. h ai M i (D i ) -U ai M i+1 (D i+1 ) h adi+1 U ai the slope angle of upper marginal ray of hai height of upper marginal ray of M i hadi M i height of upper marginal ray of the virtual surface D i -d i WDI radio i the diameter ratio of the hole to the mirror M i c i U arctan ( hadi+1 radio ) / (- ) ai i1 hadi+1 hai di = sin h ai 11

12 Parameter Calculation Condition Pupil-stop condition: Surface parameter is the function of the pupil or stop position. STOP hzs chief ray height on Ms U zt M s d s M s M separation between and s 1 Ms 1 d s h zt U zt zzs slope angle of the chief ray on the along optical axial distance of the incident point on Ms M s c s arctan h zs / ds zzs U zt sin( ) hzs

13 Parameter Calculation Condition Conjugation condition: Surface parameters should match the adjacent groups properties (e.g. petzval sum, object-image, Magification, pupil matching). M b l a l b d a l pb l pa M a 1 2 ca ps ps 4B A 2 d A Ml l M l + 2Ml psl l cb ps ca db l b lb1 TT la da lb1 2 2 da 1 la 1 la la 1+ Ml pal pb ps A ps A 4AB A 2 a pb pa pa pb pa pb M ps denotes the magnification of the middle two mirror group denotes the pezval sum of it ps A 2 2 4AB A Mlpb lpa lpam TT M lpb l pa+ 2Mlpb l pa lpbl pa lpbtt B ps M lpa lpb M lpb lpa M lpa lpb

14 Design of co-axial objective systems 6M unobscured objective presented in 2013 EUVL work shop NA 0.3 MAGNIFICATION 1/4 TOTAL TRACK 1530mm NA 0.3 MAGNIFICATION 1/4 TOTAL TRACK 1239mm NA 0.3 MAGNIFICATION 1/4 TOTAL TRACK 1280mm 14

15 Design of co-axial objective systems 1. 6M objective with central obscuration To enable 11nm node, 6-mirror with central obscuration is one of the solutions. The NA of the objective is around Our latest design form G3 is calculated under obscuration ratio condition firstly. G2 is then calculated under non-obstruction condition. To match the ray path of G2 and G3, G1 can be determined under conjugation condition. 15

16 Design of co-axial objective systems Performance Wavelength 13.5nm 13 mm Numerical aperture mm A field of view 13mm 1mm 10:25: M O 0.6 D U L 0.5 A T I O 0.4 N Z Y X New lens from CVMACR O:cvnewlens.seq DIFFRACTION SQ WAVE RESPONSE Ring field 04-Jun-14 DIFFRACTION LIMIT T R 0.9 FIELD ( 5.67 O ) T R 0.9 FIELD ( 5.84 O ) T R 1.0 FIELD ( 6.02 O ) T R 1.0 FIELD ( 6.20 O ) T R 1.0 FIELD ( 6.39 O ) WAVELENGTH WEIGHT 13.5 NM 1 DEFOCUSING SPATIAL FREQUENCY (CYCLES/MM) R T Reduction 8 Total track working distance Chief ray angle on mask Chief ray angle on wafer 1630mm 34mm < Wavefront error (RMS) λ Distortion <1.2nm Pupil obscuration <25% 16

17 Design of co-axial objective systems Generation of new design forms Other design forms G3 is fixed. Changing the separations of mirrors in G2, new design forms of G2 will be obtained. To connect G2 and G3, the design forms of G1 will be changed accordingly. A new initial design are obtained by connecting the three groups. 17

18 Design of co-axial objective systems 2. 8M unobscured objective NA 0.4 Reduction 4 TOTAL TRACK 947mm NA 0.4 Reduction 4 TOTAL TRACK 1235mm NA 0.4 Reduction 4 TOTAL TRACK 1274mm 18

19 Design of co-axial objective systems 3. 10M Objective with central obscuration NA 0.7 Reduction 8 TOTAL TRACK 2743mm NA 0.7 Reduction 8 TOTAL TRACK 2743mm 19

20 Design of off-axial objective systems 1. 6M objective off-axial unobscured system Coaxial objective with NA 0.3 NA is increased to 0.4 and obscuration occurs NA 0.4 off-axial objective without obscuration Optimized objective with XYpolynomial surface 20

21 Design of off-axial objective systems Performance 26 mm 1.5mm Wavelength 13.5nm Numerical aperture 0.4 Y Z X 29mm A field of view 26mm 1.5mm Reduction 4 21:39: M O 0.6 D U L 0.5 A T I O 0.4 N Rectangular field New lens from CVMACR O:cvnewlens.seq DIFFRACTION SQ WAVE RESPONSE 14-Jan-14 DIFFRACTION LIMIT Y X (-1.31,-6.11) DEG Y X (-1.31,-6.22) DEG Y X (-1.97,-5.91) DEG Y X (-1.97,-6.01) DEG Y X (-1.97,-6.12) DEG WAVELENGTH WEIGHT 13.4 NM 1 DEFOCUSING X Total track 1263mm working distance 35mm Chief ray angle on mask <6.0 Chief ray angle on wafer 0.18 Wavefront error (RMS) 0.034λ Distortion 1.8nm SPATIAL FREQUENCY (CYCLES/MM) Y 21

22 Reverse design/ adjusting method for illuminator Reverse design method: Object of the illuminator----exit pupil of objective Stop of the illuminator-----arc shape field Design target: to match IF of given plasma source Para-position for pupil facets and field facets to ensure the illumination uniformity Aperture (Reticle) Exit pupil of illuminator The dummy exit pupil relay2 relay1 Pupil facets Collector R Field facets Y X Source Z 22

23 Reverse design/ adjusting method for illuminator Reverse adjusting method: A adjusting method for illuminator to match objectives with different NA and pupil parameters. Only the position of the component is adjusted. The figure of the component is the same. Item Set 1 Set 2 Set 3 Wavelength 13.5nm Exposure field on the reticle Chief ray angle on the reticle 104mm 6mm, R=119mm 5.52 degree 6 degree 4.9 degree demagnification 1/4 1/4 1/4 NA in image space

24 Reverse design method for illumination system Collector Source IF Field facets The second relay mirror Pupil facets The first relay mirror reticle Exit pupil 90-degree dipole illumination 45-degree quadrupole illumination annular illumination Pupil facets Exit pupil The illumination uniformity is better than 2.5%. 24

25 Members of our EUV team Yanqiu Li received the MS and PhD degrees in optics from Harbin Institute of Technology. She worked as a director of the micro- and nano-fabrication division at Institute of Electrical Engineering Chinese Academy of Science, as a senior engineer at Nikon, as an invited professor of Tohoku University of Japan, and as a frontier researcher at RIKEN of Japan. She is currently a professor of School of Optoelectronics at Beijing Institute of Technology, Beijing, China. Zhen Cao (Speaker) received the BS degree in optoelectronic information engineering from Xi an Technological University in He is currently works at Beijing Institute of Technology. His current interests include optical system design for EUVL. Fei Liu received the BS degree in measurement and control technology and instruments from Changchun University of Science and Technology in She is currently a PhD candidate directed by Professor Yanqiu Li in the School of Optoelectronics at Beijing Institute of Technology. Her current interests include optical system design for EUVL. 25

26 Qiuli Mei received her BS degree in optical information science and technology from Wuhan university of technology in She is currently a PHD candidate in the School of Optoelectronics at Beijing Institute of Technology. Her current interests involve design of illumination system and the applications of free form surface in non-imaging optics. Yan Liu received the BS degree in Optoelectronic information engineering from Changchun University of Science and technology in He is currently a PhD candidate directed by Professor Yanqiu Li in the School of Optoelectronics at Beijing Institute of Technology. His current interests include optical system design for EUVL. Xinli Liang received the BS degree in optical information science and technology from Nanjing University of Aeronautics and Astronautics in She is currently a MS candidate directed by Professor Yanqiu Li in the School of Optoelectronics at Beijing Institute of Technology. His current interests include design of illumination system for EUVL. 26

27 Acknowledgment This work is supported by National Science and Technology Major Project. 中继镜组 视场复眼 掩模 椭圆聚光镜 掠入射镜 光阑复眼 出瞳 27

28 28

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Optics for EUV Production

Optics for EUV Production Optics for EUV Production NXE 3100 NXE 3300 Olaf Conradi, Peter Kuerz, Ralf Arnold, Thure Boehm, Joachim Buechele, Manfred Dahl, Udo Dinger, Hans-Juergen Mann, Stephan Muellender, Martin Lowisch, Oliver

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

Telecentric Imaging Object space telecentricity stop source: edmund optics The 5 classical Seidel Aberrations First order aberrations Spherical Aberration (~r 4 ) Origin: different focal lengths for different

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture : Correction II 3--9 Herbert Gross Summer term www.iap.uni-jena.de Correction II Preliminary time schedule 6.. Introduction Introduction, Zemax interface, menues, file

More information

Optical Design of an Off-axis Five-mirror-anastigmatic Telescope for Near Infrared Remote Sensing

Optical Design of an Off-axis Five-mirror-anastigmatic Telescope for Near Infrared Remote Sensing Journal of the Optical Society of Korea Vol. 16, No. 4, December 01, pp. 343-348 DOI: http://dx.doi.org/10.3807/josk.01.16.4.343 Optical Design of an Off-axis Five-mirror-anastigmatic Telescope for Near

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Conformal optical system design with a single fixed conic corrector

Conformal optical system design with a single fixed conic corrector Conformal optical system design with a single fixed conic corrector Song Da-Lin( ), Chang Jun( ), Wang Qing-Feng( ), He Wu-Bin( ), and Cao Jiao( ) School of Optoelectronics, Beijing Institute of Technology,

More information

Opti 415/515. Introduction to Optical Systems. Copyright 2009, William P. Kuhn

Opti 415/515. Introduction to Optical Systems. Copyright 2009, William P. Kuhn Opti 415/515 Introduction to Optical Systems 1 Optical Systems Manipulate light to form an image on a detector. Point source microscope Hubble telescope (NASA) 2 Fundamental System Requirements Application

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline Lecture 4: Geometrical Optics 2 Outline 1 Optical Systems 2 Images and Pupils 3 Rays 4 Wavefronts 5 Aberrations Christoph U. Keller, Leiden University, keller@strw.leidenuniv.nl Lecture 4: Geometrical

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Lens Design I Seminar 1

Lens Design I Seminar 1 Xiang Lu, Ralf Hambach Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Lens Design I Seminar 1 Warm-Up (20min) Setup a single, symmetric, biconvex lens

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Geometric optics & aberrations

Geometric optics & aberrations Geometric optics & aberrations Department of Astrophysical Sciences University AST 542 http://www.northerneye.co.uk/ Outline Introduction: Optics in astronomy Basics of geometric optics Paraxial approximation

More information

Ophthalmic lens design with the optimization of the aspherical coefficients

Ophthalmic lens design with the optimization of the aspherical coefficients Ophthalmic lens design with the optimization of the aspherical coefficients Wen-Shing Sun Chuen-Lin Tien Ching-Cherng Sun, MEMBER SPIE National Central University Institute of Optical Sciences Chung-Li,

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

OPTICAL IMAGING AND ABERRATIONS

OPTICAL IMAGING AND ABERRATIONS OPTICAL IMAGING AND ABERRATIONS PARTI RAY GEOMETRICAL OPTICS VIRENDRA N. MAHAJAN THE AEROSPACE CORPORATION AND THE UNIVERSITY OF SOUTHERN CALIFORNIA SPIE O P T I C A L E N G I N E E R I N G P R E S S A

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

CHAPTER 1 Optical Aberrations

CHAPTER 1 Optical Aberrations CHAPTER 1 Optical Aberrations 1.1 INTRODUCTION This chapter starts with the concepts of aperture stop and entrance and exit pupils of an optical imaging system. Certain special rays, such as the chief

More information

Optical Design with Zemax for PhD

Optical Design with Zemax for PhD Optical Design with Zemax for PhD Lecture 7: Optimization II 26--2 Herbert Gross Winter term 25 www.iap.uni-jena.de 2 Preliminary Schedule No Date Subject Detailed content.. Introduction 2 2.2. Basic Zemax

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Introductions to aberrations OPTI 517

Introductions to aberrations OPTI 517 Introductions to aberrations OPTI 517 Lecture 11 Spherical aberration Meridional and sagittal ray fans Spherical aberration 0.25 wave f/10; f=100 mm; wave=0.0005 mm Spherical aberration 0.5 wave f/10;

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

Requirements and designs of illuminators for microlithography

Requirements and designs of illuminators for microlithography Keynote Address Requirements and designs of illuminators for microlithography Paul Michaloski Corning Tropel Corporation Fairport, New York ABSTRACT The beam shaping by illuminators of microlithographic

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

Open Access Structural Parameters Optimum Design of the New Type of Optical Aiming

Open Access Structural Parameters Optimum Design of the New Type of Optical Aiming Send Orders for Reprints to reprints@benthamscience.ae 208 The Open Electrical & Electronic Engineering Journal, 2014, 8, 208-212 Open Access Structural Parameters Optimum Design of the New Type of Optical

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Introduction to Optical Modeling. Friedrich-Schiller-University Jena Institute of Applied Physics. Lecturer: Prof. U.D. Zeitner

Introduction to Optical Modeling. Friedrich-Schiller-University Jena Institute of Applied Physics. Lecturer: Prof. U.D. Zeitner Introduction to Optical Modeling Friedrich-Schiller-University Jena Institute of Applied Physics Lecturer: Prof. U.D. Zeitner The Nature of Light Fundamental Question: What is Light? Newton Huygens / Maxwell

More information

Properties of optical instruments. Visual optical systems part 2: focal visual instruments (microscope type)

Properties of optical instruments. Visual optical systems part 2: focal visual instruments (microscope type) Properties of optical instruments Visual optical systems part 2: focal visual instruments (microscope type) Examples of focal visual instruments magnifying glass Eyepieces Measuring microscopes from the

More information

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term Lens Design I Lecture 3: Properties of optical systems II 207-04-20 Herbert Gross Summer term 207 www.iap.uni-jena.de 2 Preliminary Schedule - Lens Design I 207 06.04. Basics 2 3.04. Properties of optical

More information

Using Stock Optics. ECE 5616 Curtis

Using Stock Optics. ECE 5616 Curtis Using Stock Optics What shape to use X & Y parameters Please use achromatics Please use camera lens Please use 4F imaging systems Others things Data link Stock Optics Some comments Advantages Time and

More information

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term Lens Design I Lecture 3: Properties of optical systems II 205-04-8 Herbert Gross Summer term 206 www.iap.uni-jena.de 2 Preliminary Schedule 04.04. Basics 2.04. Properties of optical systrems I 3 8.04.

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Study on Imaging Quality of Water Ball Lens

Study on Imaging Quality of Water Ball Lens 2017 2nd International Conference on Mechatronics and Information Technology (ICMIT 2017) Study on Imaging Quality of Water Ball Lens Haiyan Yang1,a,*, Xiaopan Li 1,b, 1,c Hao Kong, 1,d Guangyang Xu and1,eyan

More information

Advanced Lens Design

Advanced Lens Design Advanced Lens Design Lecture 3: Aberrations I 214-11-4 Herbert Gross Winter term 214 www.iap.uni-jena.de 2 Preliminary Schedule 1 21.1. Basics Paraxial optics, imaging, Zemax handling 2 28.1. Optical systems

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Sequential Ray Tracing. Lecture 2

Sequential Ray Tracing. Lecture 2 Sequential Ray Tracing Lecture 2 Sequential Ray Tracing Rays are traced through a pre-defined sequence of surfaces while travelling from the object surface to the image surface. Rays hit each surface once

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI)

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Liang-Chia Chen 1#, Chao-Nan Chen 1 and Yi-Wei Chang 1 1. Institute of Automation Technology,

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

(12) United States Patent

(12) United States Patent (12) United States Patent Dinger USOO6244717B1 (10) Patent No.: (45) Date of Patent: Jun. 12, 2001 (54) REDUCTION OBJECTIVE FOR EXTREME ULTRAVIOLET LITHOGRAPHY (75) Inventor: Udo Dinger, Oberkochen (DE)

More information

Lithography Smash Sensor Objective Product Requirements Document

Lithography Smash Sensor Objective Product Requirements Document Lithography Smash Sensor Objective Product Requirements Document Zhaoyu Nie (Project Manager) Zichan Wang (Customer Liaison) Yunqi Li (Document) Customer: Hong Ye (ASML) Faculty Advisor: Julie Bentley

More information

Chapter 3 Mirrors. The most common and familiar optical device

Chapter 3 Mirrors. The most common and familiar optical device Chapter 3 Mirrors The most common and familiar optical device Outline Plane mirrors Spherical mirrors Graphical image construction Two mirrors; The Cassegrain Telescope Plane mirrors Common household mirrors:

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

October 7, Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA Dear Peter:

October 7, Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA Dear Peter: October 7, 1997 Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA 02138 Dear Peter: This is the report on all of the HIREX analysis done to date, with corrections

More information

Optical Design with Zemax for PhD - Basics

Optical Design with Zemax for PhD - Basics Optical Design with Zemax for PhD - Basics Lecture 3: Properties of optical sstems II 2013-05-30 Herbert Gross Summer term 2013 www.iap.uni-jena.de 2 Preliminar Schedule No Date Subject Detailed content

More information

microscopy A great online resource Molecular Expressions, a Microscope Primer Partha Roy

microscopy A great online resource Molecular Expressions, a Microscope Primer Partha Roy Fundamentals of optical microscopy A great online resource Molecular Expressions, a Microscope Primer http://micro.magnet.fsu.edu/primer/index.html Partha Roy 1 Why microscopy Topics Functions of a microscope

More information

PHY385H1F Introductory Optics Term Test 2 November 6, 2012 Duration: 50 minutes. NAME: Student Number:.

PHY385H1F Introductory Optics Term Test 2 November 6, 2012 Duration: 50 minutes. NAME: Student Number:. PHY385H1F Introductory Optics Term Test 2 November 6, 2012 Duration: 50 minutes NAME: Student Number:. Aids allowed: A pocket calculator with no communication ability. One 8.5x11 aid sheet, written on

More information

An Indian Journal FULL PAPER. Trade Science Inc. Parameters design of optical system in transmitive star simulator ABSTRACT KEYWORDS

An Indian Journal FULL PAPER. Trade Science Inc. Parameters design of optical system in transmitive star simulator ABSTRACT KEYWORDS [Type text] [Type text] [Type text] ISSN : 0974-7435 Volume 10 Issue 23 BioTechnology 2014 An Indian Journal FULL PAPER BTAIJ, 10(23), 2014 [14257-14264] Parameters design of optical system in transmitive

More information

Lens Design I. Lecture 5: Advanced handling I Herbert Gross. Summer term

Lens Design I. Lecture 5: Advanced handling I Herbert Gross. Summer term Lens Design I Lecture 5: Advanced handling I 2018-05-17 Herbert Gross Summer term 2018 www.iap.uni-jena.de 2 Preliminary Schedule - Lens Design I 2018 1 12.04. Basics 2 19.04. Properties of optical systems

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Collimation Tester Instructions

Collimation Tester Instructions Description Use shear-plate collimation testers to examine and adjust the collimation of laser light, or to measure the wavefront curvature and divergence/convergence magnitude of large-radius optical

More information

12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes

12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes 330 Chapter 12 12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes Similar to the JWST, the next-generation large-aperture space telescope for optical and UV astronomy has a segmented

More information

Introduction to Light Microscopy. (Image: T. Wittman, Scripps)

Introduction to Light Microscopy. (Image: T. Wittman, Scripps) Introduction to Light Microscopy (Image: T. Wittman, Scripps) The Light Microscope Four centuries of history Vibrant current development One of the most widely used research tools A. Khodjakov et al. Major

More information

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy Bi177 Lecture 5 Adding the Third Dimension Wide-field Imaging Point Spread Function Deconvolution Confocal Laser Scanning Microscopy Confocal Aperture Optical aberrations Alternative Scanning Microscopy

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

CXCI. Optical design of a compact telescope for the next generation Earth Observation system CXCI. Vincent COSTES. Octobre 2012

CXCI. Optical design of a compact telescope for the next generation Earth Observation system CXCI. Vincent COSTES. Octobre 2012 CXCI Optical design of a compact telescope for the next generation Earth Observation system Vincent COSTES Octobre 2012 CXCI CXCI SUMMARY INTRODUCTION CXCI TECHNOLOGICAL PROGRAM COMPACTNESS REQUIREMENT

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Width of the apodization area in the case of diffractive optical elements with variable efficiency

Width of the apodization area in the case of diffractive optical elements with variable efficiency Width of the apodization area in the case of diffractive optical elements with variable efficiency Tomasz Osuch 1, Zbigniew Jaroszewicz 1,, Andrzej Kołodziejczyk 3 1 National Institute of Telecommunications,

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

OSLO Doublet Optimization Tutorial

OSLO Doublet Optimization Tutorial OSLO Doublet Optimization Tutorial This tutorial helps optical designers with the most basic process for setting up a lens and optimizing in OSLO. The example intentionally goes through basics as well

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Sub-nanometer Interferometry Aspheric Mirror Fabrication UCRL-JC- 134763 PREPRINT Sub-nanometer Interferometry Aspheric Mirror Fabrication for G. E. Sommargren D. W. Phillion E. W. Campbell This paper was prepared for submittal to the 9th International Conference

More information

Lens Design II. Lecture 2: Structural modifications Herbert Gross. Winter term

Lens Design II. Lecture 2: Structural modifications Herbert Gross. Winter term Lens Design II Lecture 2: Structural modifications 26--26 Herbert Gross Winter term 26 www.iap.uni-jena.de 2 Preliminary Schedule 9.. Aberrations and optimization Repetition 2 26.. Structural modifications

More information

Long Wave Infrared Scan Lens Design And Distortion Correction

Long Wave Infrared Scan Lens Design And Distortion Correction Long Wave Infrared Scan Lens Design And Distortion Correction Item Type text; Electronic Thesis Authors McCarron, Andrew Publisher The University of Arizona. Rights Copyright is held by the author. Digital

More information

Lens Design I. Lecture 10: Optimization II Herbert Gross. Summer term

Lens Design I. Lecture 10: Optimization II Herbert Gross. Summer term Lens Design I Lecture : Optimization II 5-6- Herbert Gross Summer term 5 www.iap.uni-jena.de Preliminary Schedule 3.. Basics.. Properties of optical systrems I 3 7.5..5. Properties of optical systrems

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING 14 USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING Katherine Creath College of Optical Sciences University of Arizona Tucson, Arizona Optineering Tucson, Arizona James C. Wyant College of Optical

More information

Lecture 3: Geometrical Optics 1. Spherical Waves. From Waves to Rays. Lenses. Chromatic Aberrations. Mirrors. Outline

Lecture 3: Geometrical Optics 1. Spherical Waves. From Waves to Rays. Lenses. Chromatic Aberrations. Mirrors. Outline Lecture 3: Geometrical Optics 1 Outline 1 Spherical Waves 2 From Waves to Rays 3 Lenses 4 Chromatic Aberrations 5 Mirrors Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl Lecture 3: Geometrical

More information

Optical Design Forms for DUV&VUV Microlithographic Processes

Optical Design Forms for DUV&VUV Microlithographic Processes Optical Design Forms for DUV&VUV Microlithographic Processes James Webb, Julie Bentley, Paul Michaloski, Anthony Phillips, Ted Tienvieri Tropel Corporation, 60 O Connor Road, Fairport, NY 14450 USA, jwebb@tropel.com

More information

Lens Design II. Lecture 11: Further topics Herbert Gross. Winter term

Lens Design II. Lecture 11: Further topics Herbert Gross. Winter term Lens Design II Lecture : Further topics 28--8 Herbert Gross Winter term 27 www.iap.uni-ena.de 2 Preliminary Schedule Lens Design II 27 6.. Aberrations and optimization Repetition 2 23.. Structural modifications

More information