Optical Micrometer Measurement System Product Description

Size: px
Start display at page:

Download "Optical Micrometer Measurement System Product Description"

Transcription

1 Optical Micrometer Measurement System Product Description Virginia Semiconductor Incorporated Fredericksburg, VA (540) OMMS Engineering and Scientific Background The Optical Micrometer Measurement System (OMMS) is based on correlating silicon thickness to percentage of optical absorption. Our technique is patented [1,2] and generally proven. A basic diagram of the system set-up is shown in Figure 1. Figure 1 Block diagram and method of operation for OMMS. A stable and coherent optical beam is expanded and columnated to have as uniform beam intensity as possible. A diffusing wheel and optical component set (see figure 2 imaging optics) are then used to modify the beam phase correlation and eliminate interference along the optical path. This optical component is critical to the overall method and application. The beam passes through the micromachined structure, a set of optics for magnification, and is imaged to a high performance CCD array. The wavelength of the beam should be relatively transparent, but at the same time absorbing to the silicon micromachined structure. By maintaining the power, frequency, and mode of the laser,

2 the percentage absorption of the beam pixel-by-pixel can be used to map the membrane thickness pixel-by-pixel. The beam must have reasonable, but not excessive, absorption in order to maintain a strong signal-to-noise relationship when correlating percentage absorption to thickness. As the thickness increases the signal decreases. VSI has determined that wavelengths near 600nm 1000nm are appropriate for thickness measurements ranging from 15um to 185 um. In order to span the entire thickness range, several different powers are used. Extensive and complex signal processing and calibration to wafers of know thickness is needed to reliably map the absorption information to absolute thickness. Also, proper lens and mirror design is needed to eliminate interference phenomena along the optical path. The following equations are used as the primary transfer functions to translate absorption information to thickness information. The response of the camera, relative to the thickness of the wafer and the laser intensity is given by the equations, Rpx = {IL(Spx)(Rf 1)(Rb 1)EXP( at)} + Rpxnf and after rearranging, solving for t, 1 ( Rpx Rpxnf ) t = ln a IL( Spx)( Rf 1)( Rb 1) where it is assumed that the majority of the beam is received after one internal reflection, and t=thickness of Si, Rpx=pixel optical response, Rpxnf=pixel optical response noise floor, IL= laser intensity, Spx= pixel optical sensitivity, a=optical absorption coefficient. Therefore a calibrated and stable system can directly relate t, thickness, to the pixel-bypixel optical response (Rpx ) of the CCD. The absorption coefficient at a given wavelength is generally known [30], and can be mathematically expressed as a polynomial with the variable temperature (T). The front and back reflection coefficients Rb,Rf are determined by, Rf,b= [(n1 2 (n0-n2) 2 cos 2 kh+(n0n2-n1 2 ) 2 sin 2 kh]/[n1 2 (n0+n2) 2 cos 2 kh+(n0n2+n1 2 ) 2 sin 2 kh] where n is the index of refraction, h=oxide or nitride coating thickness, and k=laserwavelength-dependent propagation constant. The index of refractions (n0,n1,n2) are also known for Si, Silicon Dioxide, Silicon Nitride, etc. The laser power, frequency, and mode must be maintained constant as well as the camera noise floor and response. Extensive signal processing is completed using LabView and a thickness map for the membrane is generated from the percentage of absorption in the beam read from the CCD image referenced to calibrated, wafer standards and absorption. The algorithms are complex and beyond the scope of this proposal, and fully explained in [1,2]. LabView, National Instruments I/O boards, and a PC are used for signal processing, system control, user input, data and analysis display, and data storage. Although the technique seems relatively simple, in order to achieve less than 1% repeatability error and a large range of thicknesses from 0um-400um significant scientific and engineering problems must be resolved.

3 Figure 2 is taken directly from the US Patent and further illustrates the OMMS configuration. Figure 2 OMMS illustration from US Patent Figure 3 is taken from the US Patent and illustrates some of the patented image processing and software technology used with the OMMS. Figure 3 OMMS software and algorithm illustration from US Patent

4 Figure 4 is also taken from the US Patent and shows other potential embodiments of the technology. Figure 4 OMMS illustration from US Patent showing alternate embodiments

5 OMMS Hardware and Software Layout Figures 5,6 below show the basic hardware and software configurations for the OMMS. Figure 5 OMMS Hardware Photos Figure 6 OMMS integrated into manufacturing

6 Figure 7 shows the LabView front panel for the OMMS that is used for system operation. Figure 7 OMMS operating software interface The analysis area is controlled by the upper left-hand controls. The yellow box in the lower image defines the region to be mapped for thickness. The image in the upper righthand area has four movable cursors that are positioned with the mouse to define regions for thickness line profiles and thickness data shown in the lower right corner.

7 Demonstrated OMMS Performance To date, VSI has been very successful with this technology for the 0um 180um range (see Figures below). For this band, two thermoelectrically cooled solid-state lasers, 10- bit Cohu Corporation Camera (1024 counts per pixel), and specialized optics have been used to build a reliable system. Figures 8 show a high performance commercial MEMS component with a 9.4 um thick microelectromechanical membrane being successfully analyzed by this OMMS technology. The entire membrane structure can be analyzed with 0.2 um resolution and accuracy by the OMMS as shown below. Also, basic inspection for defects and etch anomalies can be achieved while capturing thickness information. Figure 8 shows the front panel, LabView, display of an OMMS instrument operating from 0um-180um and 0.5um repeatability. The lower left hand corner is a lower magnification IR image of the device. The operator locates the region for analysis using this image. The upper right hand corner is a higher magnification image with cursors that can be moved using a PC mouse to generate thickness profiles within the image. The profile between the vertical bluepurple curses is given in the lower right hand corner. The system requires about 10 seconds to produce a complete thickness map of the region shown in the upper right hand corner.

8 Figure 8 LabView front panel display during measurement of a 9.4um thick Si Micromachined membrane using the proposed optical absorption technique. Figure 9 shown below is the actual calibrated transfer function that is embedded into the software system and algorithms for correlating camera signal to silicon thickness. The curve is given by the above equations with calibration standards being used to extract unknown coefficients for laser power and camera sensitivity. As the silicon thickness decreases, the signal increase at a fixed laser power. Proper determination of laser wavelength, optical path configuration, and laser power are needed to optimize the signal-to-noise ratio and achieve 0.2 um resolution. Extensive signal processing of the image is needed to achieve better than 0.5 um repeatability.

9 Graph Thickness Camera Pixel Signal no ox cali DATA Figure 9 Graph showing the theoretical relationship and calibration transfer function for the 0-15 um thickness range. Similar functions are used at greater laser powers to extend the measurement band. VSI OMMS Technology and relationship tovti As of May 2003, Virginia Semiconductor Incorporated has delivered three commercial OMMS systems to MEMS manufacturing companies. The first system was installed in Virginia Technologies Incorporated (VTI), is a separate company operating in Charlottesville, VA, and serves as the contract manufacturer to VSI for OMMS instrumentation. VTI and VSI are wholly separate companies both located in the state of Virginia. Virginia Semiconductor owns all Intellectual Property related to OMMS technology, and is the only company selling OMMS instrumentation. VSI and VTI enjoy many business collaborations. Employees from both companies are co-inventors of several electronic and optical systems having US Patents. Contact VSI today for more information on OMMS technology at or send to Stephen H. Jones, President, VSI at shjones@virginiasemi.com. For more information on Virginia Technologies Inc. visit or send to Bob Ross, President, Virginia Technologies Inc., at ross@vatechnologies.com. References [1] Stephen H. Jones, Optical Micrometer for Measuring Thickness of Transparent Substraes Based on Optical Absorption, US Patent Number 5,959,731, Issued September [2] Robert Ross, Stephen H. Jones, Optical System for Measuring and Inspecting partially Transparent Substrates, US Patent Number 6,057,924, Issued May [3] see further information on VSI optical micrometer technology at

10

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Optical Characterization and Defect Inspection for 3D Stacked IC Technology Minapad 2014, May 21 22th, Grenoble; France Optical Characterization and Defect Inspection for 3D Stacked IC Technology J.Ph.Piel, G.Fresquet, S.Perrot, Y.Randle, D.Lebellego, S.Petitgrand, G.Ribette FOGALE

More information

The below identified patent application is available for licensing. Requests for information should be addressed to:

The below identified patent application is available for licensing. Requests for information should be addressed to: DEPARTMENT OF THE NAVY OFFICE OF COUNSEL NAVAL UNDERSEA WARFARE CENTER DIVISION 1176 HOWELL STREET NEWPORT Rl 0841-1708 IN REPLY REFER TO Attorney Docket No. 300048 7 February 017 The below identified

More information

Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision

Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision Hitachi Review Vol. 65 (2016), No. 7 243 Featured Articles Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision VS1000 Series Coherence Scanning Interferometer

More information

Polarization Experiments Using Jones Calculus

Polarization Experiments Using Jones Calculus Polarization Experiments Using Jones Calculus Reference http://chaos.swarthmore.edu/courses/physics50_2008/p50_optics/04_polariz_matrices.pdf Theory In Jones calculus, the polarization state of light is

More information

brief history of photography foveon X3 imager technology description

brief history of photography foveon X3 imager technology description brief history of photography foveon X3 imager technology description imaging technology 30,000 BC chauvet-pont-d arc pinhole camera principle first described by Aristotle fourth century B.C. oldest known

More information

Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated

Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated structures all substitutable Create spare rows and columns

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Adaptive Optics for LIGO

Adaptive Optics for LIGO Adaptive Optics for LIGO Justin Mansell Ginzton Laboratory LIGO-G990022-39-M Motivation Wavefront Sensor Outline Characterization Enhancements Modeling Projections Adaptive Optics Results Effects of Thermal

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Technical Explanation for Displacement Sensors and Measurement Sensors

Technical Explanation for Displacement Sensors and Measurement Sensors Technical Explanation for Sensors and Measurement Sensors CSM_e_LineWidth_TG_E_2_1 Introduction What Is a Sensor? A Sensor is a device that measures the distance between the sensor and an object by detecting

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Surface Finish Measurement Methods and Instrumentation

Surface Finish Measurement Methods and Instrumentation 125 years of innovation Surface Finish Measurement Methods and Instrumentation Contents Visual Inspection Surface Finish Comparison Plates Contact Gauges Inductive / Variable Reluctance (INTRA) Piezo Electric

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Exercise 8: Interference and diffraction

Exercise 8: Interference and diffraction Physics 223 Name: Exercise 8: Interference and diffraction 1. In a two-slit Young s interference experiment, the aperture (the mask with the two slits) to screen distance is 2.0 m, and a red light of wavelength

More information

Slicing Off-Axis Si, SiGe, and Ge Wafers

Slicing Off-Axis Si, SiGe, and Ge Wafers Slicing Off-Axis Si, SiGe, and Ge Wafers August 2002 Virginia Semiconductor, Inc. 1501 Powhatan Street, Fredericksburg, VA 22401 (540) 373-2900, FAX (540) 371-0371 www.virginiasemi.com, tech@virginiasemi.com

More information

Diode Sensor Lab. Dr. Lynn Fuller

Diode Sensor Lab. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Diode Sensor Lab Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax

More information

Super High Vertical Resolution Non-Contact 3D Surface Profiler BW-S500/BW-D500 Series

Super High Vertical Resolution Non-Contact 3D Surface Profiler BW-S500/BW-D500 Series Super High Vertical Resolution Non-Contact 3D Surface Profiler BW-S500/BW-D500 Series Nikon's proprietary scanning-type optical interference measurement technology achieves 1pm* height resolution. * Height

More information

Image sensor combining the best of different worlds

Image sensor combining the best of different worlds Image sensors and vision systems Image sensor combining the best of different worlds First multispectral time-delay-and-integration (TDI) image sensor based on CCD-in-CMOS technology. Introduction Jonathan

More information

SUPRA Optix 3D Optical Profiler

SUPRA Optix 3D Optical Profiler SUPRA Optix 3D Optical Profiler Scanning White-light Interferometric Microscope SWIM Series Applications The SUPRA Optix is the latest development in the field of Scanning White-light Interferometry. With

More information

Integrated into Nanowire Waveguides

Integrated into Nanowire Waveguides Supporting Information Widely Tunable Distributed Bragg Reflectors Integrated into Nanowire Waveguides Anthony Fu, 1,3 Hanwei Gao, 1,3,4 Petar Petrov, 1, Peidong Yang 1,2,3* 1 Department of Chemistry,

More information

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1 Lecture 6 Optical transmitters Photon processes in light matter interaction Lasers Lasing conditions The rate equations CW operation Modulation response Noise Light emitting diodes (LED) Power Modulation

More information

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Sony IMX046 8.11 Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Short Wave Infrared (SWIR) Imaging In Machine Vision

Short Wave Infrared (SWIR) Imaging In Machine Vision Short Wave Infrared (SWIR) Imaging In Machine Vision Princeton Infrared Technologies, Inc. Martin H. Ettenberg, Ph. D. President martin.ettenberg@princetonirtech.com Ph: +01 609 917 3380 Booth Hall 1 J12

More information

Sinusoidal wavelength-scanning interferometer using an acousto-optic tunable filter for measurement of thickness and surface profile of a thin film

Sinusoidal wavelength-scanning interferometer using an acousto-optic tunable filter for measurement of thickness and surface profile of a thin film Sinusoidal wavelength-scanning interferometer using an acousto-optic tunable filter for measurement of thickness and surface profile of a thin film Hisashi Akiyama 1, Osami Sasaki 2, and Takamasa Suzuki

More information

Hamidreza Karbasi, P. Eng., PhD Conestoga College ITAL Oct. 7, 2010

Hamidreza Karbasi, P. Eng., PhD Conestoga College ITAL Oct. 7, 2010 Presented at the COMSOL Conference 2010 Boston Presented by: Hamidreza Karbasi, P. Eng., PhD Conestoga College ITAL Oct. 7, 2010 Creating and Building Sustainable Environments Outline Background Objectives

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Three-dimensional quantitative phase measurement by Commonpath Digital Holographic Microscopy

Three-dimensional quantitative phase measurement by Commonpath Digital Holographic Microscopy Available online at www.sciencedirect.com Physics Procedia 19 (2011) 291 295 International Conference on Optics in Precision Engineering and Nanotechnology Three-dimensional quantitative phase measurement

More information

(12) Patent Application Publication (10) Pub. No.: US 2003/ A1

(12) Patent Application Publication (10) Pub. No.: US 2003/ A1 US 20030091084A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2003/0091084A1 Sun et al. (43) Pub. Date: May 15, 2003 (54) INTEGRATION OF VCSEL ARRAY AND Publication Classification

More information

Radial Polarization Converter With LC Driver USER MANUAL

Radial Polarization Converter With LC Driver USER MANUAL ARCoptix Radial Polarization Converter With LC Driver USER MANUAL Arcoptix S.A Ch. Trois-portes 18 2000 Neuchâtel Switzerland Mail: info@arcoptix.com Tel: ++41 32 731 04 66 Principle of the radial polarization

More information

MINIATURE X-RAY SOURCES AND THE EFFECTS OF SPOT SIZE ON SYSTEM PERFORMANCE

MINIATURE X-RAY SOURCES AND THE EFFECTS OF SPOT SIZE ON SYSTEM PERFORMANCE 228 MINIATURE X-RAY SOURCES AND THE EFFECTS OF SPOT SIZE ON SYSTEM PERFORMANCE D. CARUSO, M. DINSMORE TWX LLC, CONCORD, MA 01742 S. CORNABY MOXTEK, OREM, UT 84057 ABSTRACT Miniature x-ray sources present

More information

Sharp NC Megapixel CCD Imager Process Review

Sharp NC Megapixel CCD Imager Process Review Sharp NC9360 2.0 Megapixel CCD Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call

More information

Silicon wafer thickness monitor

Silicon wafer thickness monitor Silicon wafer thickness monitor SIT-200 Alnair Labs Corporation 2016.04.20 Principle of Measurement Silicon wafer Optical fiber Sensor head Wavelength tunable laser PD PD Interference signal Power monitor

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process

MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic Optical Modulator Technical Whitepaper MEMS Optical Modulator Technology Overview The BMC MEMS Optical Modulator, shown in Figure 1, was designed for use in free space optical communication systems. The

More information

LOS 1 LASER OPTICS SET

LOS 1 LASER OPTICS SET LOS 1 LASER OPTICS SET Contents 1 Introduction 3 2 Light interference 5 2.1 Light interference on a thin glass plate 6 2.2 Michelson s interferometer 7 3 Light diffraction 13 3.1 Light diffraction on a

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

Chapter 5 5.1 What are the factors that determine the thickness of a polystyrene waveguide formed by spinning a solution of dissolved polystyrene onto a substrate? density of polymer concentration of polymer

More information

Direct Measurement of Optical Cross-talk in Silicon Photomultipliers Using Light Emission Microscopy

Direct Measurement of Optical Cross-talk in Silicon Photomultipliers Using Light Emission Microscopy Direct Measurement of Optical Cross-talk in Silicon Photomultipliers Using Light Emission Microscopy Derek Strom, Razmik Mirzoyan, Jürgen Besenrieder Max-Planck-Institute for Physics, Munich, Germany ICASiPM,

More information

Moving from biomedical to industrial applications: OCT Enables Hi-Res ND Depth Analysis

Moving from biomedical to industrial applications: OCT Enables Hi-Res ND Depth Analysis Moving from biomedical to industrial applications: OCT Enables Hi-Res ND Depth Analysis Patrick Merken a,c, Hervé Copin a, Gunay Yurtsever b, Bob Grietens a a Xenics NV, Leuven, Belgium b UGENT, Ghent,

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Subwavelength Imaging Based on Nanoscale Semiconductor Photodetector Array

Subwavelength Imaging Based on Nanoscale Semiconductor Photodetector Array Simulation of Photodetection using FDTD Method with Application to Near Field Subwavelength Imaging Based on Nanoscale Semiconductor Photodetector Array Ki Young Kim, Yingyan Huang, Boyang Liu, and Seng

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

MEMS Wind Direction Detection: From Design to Operation

MEMS Wind Direction Detection: From Design to Operation MEMS Wind Direction Detection: From Design to Operation Author Adamec, Richard, Thiel, David, Tanner, Philip Published 2003 Conference Title Proceedings of IEEE Sensors, 2003: Volume 1 DOI https://doi.org/10.1109/icsens.2003.1278954

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter EUV Beam Splitter 1 Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter First Semester Report Full Report By: Andrew Wiley Maram Alfaraj Prepared to partially fulfill the requirements

More information

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Silicon Light Machines Patents

Silicon Light Machines Patents 820 Kifer Road, Sunnyvale, CA 94086 Tel. 408-240-4700 Fax 408-456-0708 www.siliconlight.com Silicon Light Machines Patents USPTO No. US 5,808,797 US 5,841,579 US 5,798,743 US 5,661,592 US 5,629,801 US

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Laser and LED retina hazard assessment with an eye simulator. Arie Amitzi and Menachem Margaliot Soreq NRC Yavne 81800, Israel

Laser and LED retina hazard assessment with an eye simulator. Arie Amitzi and Menachem Margaliot Soreq NRC Yavne 81800, Israel Laser and LED retina hazard assessment with an eye simulator Arie Amitzi and Menachem Margaliot Soreq NRC Yavne 81800, Israel Laser radiation hazard assessment Laser and other collimated light sources

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

MUSKY: Multispectral UV Sky camera. Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM

MUSKY: Multispectral UV Sky camera. Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM MUSKY: Multispectral UV Sky camera Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM Outline Purpose of the instrument Required specs Hyperspectral or multispectral? Optical design

More information

Layout Analysis Floorplan

Layout Analysis Floorplan Sample Report Analysis from a Touch Screen Controller For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500,

More information

Sensitivity Enhancement of Bimaterial MOEMS Thermal Imaging Sensor Array using 2-λ readout

Sensitivity Enhancement of Bimaterial MOEMS Thermal Imaging Sensor Array using 2-λ readout Sensitivity Enhancement of Bimaterial MOEMS Thermal Imaging Sensor Array using -λ readout O. Ferhanoğlu, H. Urey Koç University, Electrical Engineering, Istanbul-TURKEY ABSTRACT Diffraction gratings integrated

More information

Tutorial Zemax 9: Physical optical modelling I

Tutorial Zemax 9: Physical optical modelling I Tutorial Zemax 9: Physical optical modelling I 2012-11-04 9 Physical optical modelling I 1 9.1 Gaussian Beams... 1 9.2 Physical Beam Propagation... 3 9.3 Polarization... 7 9.4 Polarization II... 11 9 Physical

More information

Accurate measurement of Diamond-Like Carbon (DLC) coating thickness

Accurate measurement of Diamond-Like Carbon (DLC) coating thickness Application note A145: Diamond-Like Carbon (DLC) coating CCI non-contact techniques Accurate measurement of Diamond-Like Carbon (DLC) coating thickness Yang Yu, PhD optimising the coatings for both Precise

More information

Properties of Structured Light

Properties of Structured Light Properties of Structured Light Gaussian Beams Structured light sources using lasers as the illumination source are governed by theories of Gaussian beams. Unlike incoherent sources, coherent laser sources

More information

FLIR Systems Indigo ISC0601B from Extech i5 Infrared Camera

FLIR Systems Indigo ISC0601B from Extech i5 Infrared Camera FLIR Systems Indigo ISC0601B from Extech i5 Infrared Camera Infrared Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

System Configuration 3D Optical Profi ler Dimensions SENSOFAR SENSOFAR-TECH, SL. TERRASSA SENSOFAR Japan Ltd.

System Configuration 3D Optical Profi ler Dimensions SENSOFAR SENSOFAR-TECH, SL. TERRASSA SENSOFAR Japan Ltd. 3D Optical Profiler SENSOFAR TECHNOLOGY In recent years, interferometers and confocal imaging profilers have been competing in the non-contact surface metrology market. Both devices can accurately and

More information

Silicon sensors for radiant signals. D.Sc. Mikko A. Juntunen

Silicon sensors for radiant signals. D.Sc. Mikko A. Juntunen Silicon sensors for radiant signals D.Sc. Mikko A. Juntunen 2017 01 16 Today s outline Introduction Basic physical principles PN junction revisited Applications Light Ionizing radiation X-Ray sensors in

More information

Advances in Laser Micro-machining for Wafer Probing and Trimming

Advances in Laser Micro-machining for Wafer Probing and Trimming Advances in Laser Micro-machining for Wafer Probing and Trimming M.R.H. Knowles, A.I.Bell, G. Rutterford & A. Webb Oxford Lasers June 10, 2002 Oxford Lasers June 2002 1 Introduction to Laser Micro-machining

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI)

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Liang-Chia Chen 1#, Chao-Nan Chen 1 and Yi-Wei Chang 1 1. Institute of Automation Technology,

More information

Instructions for the Experiment

Instructions for the Experiment Instructions for the Experiment Excitonic States in Atomically Thin Semiconductors 1. Introduction Alongside with electrical measurements, optical measurements are an indispensable tool for the study of

More information

Week IX: INTERFEROMETER EXPERIMENTS

Week IX: INTERFEROMETER EXPERIMENTS Week IX: INTERFEROMETER EXPERIMENTS Notes on Adjusting the Michelson Interference Caution: Do not touch the mirrors or beam splitters they are front surface and difficult to clean without damaging them.

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Minimizes reflection losses from UV-IR; Optional AR coatings & wedge windows are available.

Minimizes reflection losses from UV-IR; Optional AR coatings & wedge windows are available. Now Powered by LightField PyLoN:2K 2048 x 512 The PyLoN :2K is a controllerless, cryogenically-cooled CCD camera designed for quantitative scientific spectroscopy applications demanding the highest possible

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

CCD Analogy BUCKETS (PIXELS) HORIZONTAL CONVEYOR BELT (SERIAL REGISTER) VERTICAL CONVEYOR BELTS (CCD COLUMNS) RAIN (PHOTONS)

CCD Analogy BUCKETS (PIXELS) HORIZONTAL CONVEYOR BELT (SERIAL REGISTER) VERTICAL CONVEYOR BELTS (CCD COLUMNS) RAIN (PHOTONS) CCD Analogy RAIN (PHOTONS) VERTICAL CONVEYOR BELTS (CCD COLUMNS) BUCKETS (PIXELS) HORIZONTAL CONVEYOR BELT (SERIAL REGISTER) MEASURING CYLINDER (OUTPUT AMPLIFIER) Exposure finished, buckets now contain

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science Student Name Date MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science 6.161 Modern Optics Project Laboratory Laboratory Exercise No. 6 Fall 2010 Solid-State

More information

Zeta-20. Zeta3D OPTICAL PROFILER IMAGING THE IMPOSSIBLE

Zeta-20. Zeta3D OPTICAL PROFILER IMAGING THE IMPOSSIBLE Zeta3D OPTICAL PROFILER Zeta-20 IMAGING THE IMPOSSIBLE TRUE COLOR 3D DIC BRIGHT FIELD DARK FIELD POLARIZED LIGHT IMAGE THROUGH TRANSMISSIVE IMAGE WHITE OR BLUE LED LIGHT SOURCE THIN FILM THICKNESS DIAMOND

More information

lll lll a lldl DID lll DIII DD llll uui lll DIV 1101 lll ld ll Dl lli

lll lll a lldl DID lll DIII DD llll uui lll DIV 1101 lll ld ll Dl lli lll lll a lldl DID lll DIII DD llll uui lll DIV 1101 lll ld ll Dl lli US 20130301093A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2013/0301093 Al Awatsuji et al. (43) Pub.

More information

Zeta-300 3D OPTICAL PROFILER

Zeta-300 3D OPTICAL PROFILER Zeta-300 3D OPTICAL PROFILER Technology Toolkit Developed in 2007, the revolutionary Confocal Grid Structured Illumination (CGSI) is the powerful technology in all Zeta Optical Profilers but in a Zeta,

More information

Spectral and Polarization Configuration Guide for MS Series 3-CCD Cameras

Spectral and Polarization Configuration Guide for MS Series 3-CCD Cameras Spectral and Polarization Configuration Guide for MS Series 3-CCD Cameras Geospatial Systems, Inc (GSI) MS 3100/4100 Series 3-CCD cameras utilize a color-separating prism to split broadband light entering

More information

BaySpec SuperGamut OEM

BaySpec SuperGamut OEM BaySpec SuperGamut OEM Spectrographs & Spectrometers RUGGED SOLID STATE HIGH RESOLUTION OPTIMIZED COOLING COST EFFECTIVE HIGH THROUGHPUT www.bayspec.com Specifications Model UV-NIR VIS-NIR NIR 900-1700nm

More information

Evaluation of laser-based active thermography for the inspection of optoelectronic devices

Evaluation of laser-based active thermography for the inspection of optoelectronic devices More info about this article: http://www.ndt.net/?id=15849 Evaluation of laser-based active thermography for the inspection of optoelectronic devices by E. Kollorz, M. Boehnel, S. Mohr, W. Holub, U. Hassler

More information

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Figure 1. The Zeta-20 uses the Grasshopper3 and produces true color 3D optical images with multi mode optics technology 3D optical profiling

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

Lec. 26, Thursday, April 15 Chapter 14: Holography. Hologram

Lec. 26, Thursday, April 15 Chapter 14: Holography. Hologram Lec. 26, Thursday, April 15 Chapter 14: Holography We are here How to make a hologram Clever observations about holograms Integral hologram White light hologram Supplemental material: CCD imaging Digital

More information

Minimizes reflection losses from UV to IR; No optical losses due to multiple optical surfaces; Optional AR coating and wedge windows available.

Minimizes reflection losses from UV to IR; No optical losses due to multiple optical surfaces; Optional AR coating and wedge windows available. SOPHIA: 2048B The SOPHIA : 2048B camera from Princeton Instruments (PI) is fully integrated, ultra-low noise 2048 x 2048, 15 µm pixel CCD camera designed expressly for the most demanding quantitative scientific

More information

f = 1 = 0.1 (no units) Now equation (2) can be rewrite with this correction factor and it becomes: 2d s f

f = 1 = 0.1 (no units) Now equation (2) can be rewrite with this correction factor and it becomes: 2d s f Experiment :O-9 Determination of the wavelengths of the Sodium doublet lines and the measurement of the separation between the D 1 and D 2 lines using a Michelson interferometer. Submitted by Muhammed

More information

SIL for improved sensitivity and spatial resolution

SIL for improved sensitivity and spatial resolution SIL for improved sensitivity and spatial resolution Herve Deslandes, DCG Systems EUFANET - Jan 26 2009 Why is Sensitivity important? High resolution fault localization requires enough sensitivity at high

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

Z-LASER Optoelektronik GmbH Stemmer 3d Technologietag Useful information on Z-Lasers for Vision

Z-LASER Optoelektronik GmbH Stemmer 3d Technologietag Useful information on Z-Lasers for Vision Z-LASER Optoelektronik GmbH Stemmer 3d Technologietag - 24.2.2011 Useful information on Z-Lasers for Vision The Company Core Competences How to Build a Z-LASER Electronics and Modulation Wavelength and

More information