Wideband Digital Predistortion Linearization. of Radio Frequency Power Amplifiers with Memory. A Thesis. Submitted to the Faculty.

Size: px
Start display at page:

Download "Wideband Digital Predistortion Linearization. of Radio Frequency Power Amplifiers with Memory. A Thesis. Submitted to the Faculty."

Transcription

1 Wideband Digital Predistortion Linearization of Radio Frequency Power Amplifiers with Memory A Thesis Submitted to the Faculty of Drexel University by Marcelo Jorge Franco in partial fulfillment of the requirements for the degree of Doctor of Philosophy April 2005

2 Copyright 2005 Marcelo J. Franco. All Rights Reserved.

3 ACKNOWLEDGEMENTS ii A doctoral thesis cannot be considered the result of the effort of one individual; there are usually various people that provide valuable support throughout the process of research and writing. I wish to deeply thank my advisor, Dr. Peter R. Herczfeld. His expert advice has permanently been of great help, pointing me in the right direction from the very beginning. I want to give a very special thanks to Dr. Robert Fischl, for his support, advice, recommendations and the many hours he took to carefully review my manuscripts in detail. The research work and tuition were supported by my employer, Linearizer Technology, Inc., for which I wish to thank the Board of Directors, and in particular to Dr. Allen Katz, as the President of the company. His positive attitude and encouragement has not gone unnoticed. I need to express my gratitude to my co-worker Dr. Allan Guida, for the fruitful discussions during the research process. His continued and generous help reviewing the manuscripts, and his attention to detail, have been an essential part in making this thesis possible. Finally, I need to be grateful to my wife Patty, for her support and encouragement at all times and under all circumstances.

4 TABLE OF CONTENTS iii LIST OF TABLES...vii LIST OF FIGURES...viii ABSTRACT...xiii CHAPTER 1. INTRODUCTION Introduction Major Contributions...6 CHAPTER 2. REVIEW OF THE LITERATURE Review of the Literature Review of Literature on Digital Predistortion Review of Literature on Linear Distortions in Digital Predistorters Review of Literature on Memory Effects in Digital Predistorters Review of Literature on the Characterization of Non-linear Devices Review of Literature on Digital Predistorter Performance Versus System Parameters Problem Statement...17 CHAPTER 3. DIGITAL PREDISTORTION LINEARIZATION Theoretical Background of Predistortion Linearization Distortion RF Power Amplifier (PA) Model with Memory Predistortion Linearization Summary Digital Predistortion Linearizer Principles of Digital Predistortion Implementation of the Digital Predistorter Summary Feedback Path Equalization...49

5 iv Linear Distortions in the Feedback Path Analysis and Correction of Quadrature Demodulator Imperfections Anti-alias Filter Imperfections Other System Imperfections Summary Forward Path Equalization Linear Distortions in the Forward Path Analysis and Correction of Quadrature Modulator Imperfections Reconstruction Filters Imperfections Summary Non-linearities Characterization Methods for Measuring the Complex Gain of a Non-linear Device as a Function of its Input Power Measurement of the Non-linear Device Complex Gain as a Function of Power in the Time Domain Test Waveform Characteristics for Dynamic Non-linear Complex Gain Measurements Local Oscillator Relative Phase between Up and Down Conversion Summary Correction of Memory Effects Memory Effects in Radio Frequency Power Amplifiers Frequency Dependent Memory Effects Envelope Dependent Memory Effects Bias Modulation Memory Effects Long Term Thermal Memory Effects Summary Predistorter Performance Versus System Parameters System Parameters Definition Intermodulation Distortion Cancellation as a Function of Correcting Signal Magnitude and Phase Errors...99

6 v Quantization Errors and its Influence in the Linearization Process Influence of the Predistortion Tables Size in the Performance of the Digital Predistorter Digital Predistorter Performance Degradation Due to Quantization and Predistorter Tables Size Digital Predistorter Performance Degradation Due to Magnitude and Phase Errors Summary CHAPTER 4. DIGITAL PREDISTORTER IMPLEMENTATION Hardware and System Description Real Time Versus Pre-Computed Digital Predistortion Digital Predistorter System Description Internal Structure and Implementation of the Digital Signal Synthesizer Digital to Analog Converters, Reconstruction Filters and Quadrature Modulator Implementation Quadrature Demodulator, Analog to Digital Conversion and Capture/Store Device Implementation Summary Feedback Path Equalization Implementation Measurement of the Quadrature Demodulator Errors and Fixed Time Delay between I and Q Measurement of the Complex Frequency Response of the Feedback Path, and Equalizer Impulse Response Calculation Feedback Signal Acquisition and Equalization Process Summary Forward Path Equalization Implementation Measurement of the Complex Frequency Response of the Forward Path and Equalizer Impulse Response Calculation Measurement of the Quadrature Modulator Errors and Fixed Time Delay Between I and Q Equalization of the Forward Channel Summary Non-linear Device Characterization...142

7 vi Measurement of the Non-linear Device Linear Distortions Measurement of the Complex Gain of the Non-linear Device as a Function of its Input Level Summary Digital Predistorter Implementation Discrete-Time Signal Generation Implementation of the Digital Predistorter with Memory Summary Experimental Results Correction of Linear Distortions Performance of the Digital Predistorter with Memory Linearizing a Solid State Power Amplifier Performance of the Digital Predistorter with Memory Linearizing a Traveling Wave Tube Amplifier Performance of the Digital Predistorter with Memory Linearizing a Traveling Wave Tube Amplifier over Wide Bandwidths Summary CHAPTER 5. CONCLUSIONS AND FUTURE WORK Conclusions Future Work LIST OF REFERENCES VITA...179

8 LIST OF TABLES vii Analysis of the Literature on Digital Predistortion Linearization Analysis of the Literature on Linear Distortions in Digital Predistorters Analysis of the Literature on Memory Effects in Digital Predistorters Analysis of the Literature on the Characterization of Non-Linear Devices Methods for Device Complex Gain Measurements as a Function of Input Power. Advantages are Highlighted in Blue/Bold...73

9 LIST OF FIGURES viii Digital Adaptive Predistorter Block Diagram Distortion Reduction versus Signal Correction Bandwidth for Various Digital Predistorters Implementations, as Reported in the Literature Block diagram Used for the Definition of Distortion in a System Graphical Representation of Distortion from Equation as a Function of Frequency TWT Power Amplifier Gain as a Function of Input Power. Top Plot: (S21) Magnitude Gain Compression, and Output Power (B). Bottom Plot: Relative Phase of the Gain Power Amplifier Model Transfer Characteristic f [y(t)] of the Nonlinear Block of Fig at f Transfer Characteristic f [y(t)] (Blue Trace) of the Nonlinear Block of Fig at f 0 Showing the Saturation Regions and Ideal Response (Black Trace) System Composed of a Cascaded Predistorter and Power Amplifier Block Diagram of the Memoryless System Predistorter-PA (or linearized PA) PA, Predistorter (PD) and Linearized PA (Lin PA) Gains/Output Powers PA Transfer Characteristic Special Cases for which a Predistorter cannot be Implemented Detailed Block Diagram of the Linearized Band-limited Power Amplifier IMD Reduction by Predistortion Versus Predistorter/PA Bandwidth, Signal Bandwidth, Output Back-Off (O BO ) and Magnitude/Phase Distortions, as given by Equations and Mapping Digital Predistorter Block Diagram Gain Based Digital Predistorter Block Diagram Digital Processing Section of the Predistortion Linearizer Spectrum of a Two-Tone Signal before and after Predistortion Digital Predistorter with Details of the Feedback Path Quadrature Demodulator Quadrature Demodulator Phase Correction Vector Diagram Quadrature Demodulator Corrections Simplified Block Diagram of a Digital Adaptive Predistorter...59

10 ix Forward Signal Path in the Digital Predistortion Linearizer Quadrature Modulator Quadrature Modulator Corrections Complex Gain Measurements Setup Block Diagram Quadrature Modulator and Demodulator Sharing a Common Local Oscillator Local Oscillator Relative Phase Correction Block Diagram Power Amplifier Gain as a Function of Input Power at Two Different Carrier Frequencies. Input Power is the same in Both Cases Same Power Amplifier of Figure 3.6.1, but Driven to Saturation at Both Frequencies Effect of the Insertion of an Equalizer before the Power Amplifier Dual Equalizer Memory Effects Compensation with no Changes to the Amplifier Frequency Response Asymmetric Intermodulation Distortion Products in a Solid Sate Power Amplifier Due to Memory Effects Vector Diagram of the Upper and Lower Fundamental and 3 rd IMD Products with Memory Effects Drain Bias Circuit in a Typical FET Solid State Power Amplifier Vector Diagram of the IMD and Predistortion Signals Maximum Theoretical Cancellation vs. Phase and Amplitude Errors Signal to Noise Ratio for Signals with Different rms Value as a Function of the Quantization Level Worst Case Intermodulation Distortion Reduction in a Typical Linearized Power Amplifier as a Function of Predistortion Table Size, Forward Quantization, and Feedback Quantization Same Plots as in Figure 3.7.4, but with a Magnitude Error of 0.1 db Same Plots as in Figure 3.7.4, but with a Magnitude Error of 0.5 db Same Plots as in Figure 3.7.4, but with a Amplitude Error of 0.1 db in the I and Q channels Digital Predistortion Linearizer System Block Diagram Digital Predistortion Linearizer Development Unit External View Digital Predistortion Linearizer Development Unit Internal View Block Diagram of the Digital Signal Synthesizer (DSS) Digital Signal Synthesizer (DSS) Implementation Using Xilinx FPGA s...115

11 x Digital to Analog Converters, Reconstruction Filters and Quadrature Modulator Quadrature Demodulator Unit Anti Alias Filters, Analog to Digital Converters and Capture/Store Device (Gage Compuscope 14100) RF Signal Generator Used for the Local Oscillator (Agilent E4431B) Digital Predistortion Linearizer System Block Diagram Setup for the Measurement of the Quadrature Demodulator Errors and Fixed Time Delay Feedback Path Equalization Main Program Flow Chart Function relative_fdbk.m Used to Measure the Individual dc Offset and Relative Phase of Two Sine Waves of the Same Frequency Setup for the Measurement of the Complex Frequency Response of the Feedback Path Function sig_fdbk.m Used to Measure the Relative Phase between a 100 KHz Reference Signal and a Variable Frequency Signal I and Q Channels Frequency Response, their Respective Equalizers Frequency Response, and the Resultant Frequency Response after the Equalization has been Applied to the Feedback Path I Channel Group Delay Q Channel Group Delay Function sample.m Used to Capture and Equalize the Output Signal of the Nonlinear Device Forward Path Equalization Main Program Flow Chart Setup for the Measurement of the Complex Frequency Response of the Forward Path Function sig_fwd.m Used to Measure the Relative Phase between the 100 KHz Reference Signal and the Variable Frequency Signal I and Q Channel Frequency Responses and their Respective Equalizer Frequency Responses after the Equalization has been Applied to the Forward Path Function relative_fwd.m Used to Measure the Individual dc Offset and Relative Phase of Two Sine Waves of the Same Frequency Function forw_eq.m Used to Equalize the Forward Path Spectrum Plot of the Output of the Digital Predistorter with 99 Equally Spaced, Constant Amplitude and Phase Carriers, before (Bottom) and after (Top) the Equalization of the Forward Path Spectrum Plot of the Output of the Digital Predistorter with a Single Carrier, before (Red) and after (Green) the Equalization of the Forward Path...140

12 xi Setup for the Measurement of the Non-Linear Distortion of the RF Power Amplifier (PA) Matlab Function measure_lin_dist.m Flow Chart, for the Measurement of Linear Distortions between the Predistorter and the Non-linear Device Matlab Function nonlinear.m Flow Chart, for the Measurement of the Complex Gain of the Non-linear Device as a Function of its Input Level Non-linear Device Input and Output Waveforms Sampled During the Non-Linear Device Complex Gain Measurement Complex Gain as a Function of Input Voltage for a typical TWTA Complex Gain as a Function of Input Voltage for a typical SSPA Flow Chart of the Matlab Function predistort.m, which Implements a Digital Predistorter with Memory Equalization of the Forward Path in Order to Obtain a Flat Frequency Response Test Setup for the Evaluation of Linear Distortions in the Digital Predistorter The Proper Balance of the Quadrature Modulator Yields High Image Signal Rejection during the Quadrature Upconversion Process Solid-state Power Amplifier Used for the Evaluation of the Digital Predistorter SSPA Output Spectrum with Memoryless Predistortion (Left), and Predistortion with Memory (Right) at 2 db Output Back-off Level SSPA Output Spectrum with Memoryless Predistortion (Left), and Predistortion with Memory (Right) at 3 db Output Back-off Level SSPA Output Spectrum with Memoryless Predistortion (Left), and Predistortion with Memory (Right) at 4 db Output Back-off Level SSPA Output Spectrum with Memoryless Predistortion (Left), and Predistortion with Memory (Right) at 10 db Output Back-off Level SSPA IMD Improvement as a Function of Input Back-off with a Memoryless Predistorter and a Predistorter with Memory Traveling Wave Tube Amplifier Used for the Evaluation of the Digital Predistorter TWTA Output Spectrum after (Green) and before (Red) Predistortion with Memory at 9 db Output Back-off Level (Left) and 10 db Output Back-off (Right) TWTA Output Spectrum after (Green) and before (Red) Predistortion with Memory at 11 db Output Back-off Level (Left) and 12 db Output Back-off (Right) TWTA (Traveling Wave Tube) IMD Improvement as a Function of Output Back-off by Using a Predistorter with Memory TWTA Output Spectrum after (Green) and before (Red) Predistortion with Memory at 11 db Output Back-off Level across 38 MHz of Bandwidth...164

13 xii TWTA Output Spectrum after (Green) and before (Red) Predistortion with Memory at 11 db Output Back-off Level across 50 MHz of Bandwidth TWTA Output Spectrum after (Green) and before (Red) Predistortion with Memory at 11 db Output Back-off Level across 100 MHz of Bandwidth TWTA Output Spectrum after Predistortion with One (Left) and Two (Right) Memory Effects Correction Filters TWTA Output Spectrum after Memoryless Predistortion across 100 MHz. This Plot Compares with Figure , where a Predistorter with Memory was Used Distortion Reduction versus Signal Correction Bandwidth for Various Digital Predistorters Implementations, as Reported in the Literature (Blue), and Achieved with the Digital Predistorter Described in this Thesis (Red)...171

14 ABSTRACT Wideband Digital Predistortion Linearization of Radio Frequency Power Amplifiers with Memory Marcelo Jorge Franco Peter R. Herczfeld, Ph.D. xiii The use of spectrum-efficient digital modulation techniques and the cost advantage of utilizing a single power amplifier in multi-carrier radio communication systems are the two major contributors to the increase in the linearity requirements during the processing of a signal. The simplest technique to improve the linearity of a power amplifier is to reduce its output power, so the device is operated in its linear region. Though this approach is valid, it severely degrades the power efficiency. Because the remaining components in a communications system usually consume little power compared to the final power amplifier, the efficiency of the latter becomes of extreme importance. Any systematic method for improvement of linearity (or nonlinear distortion reduction) is called linearization. Because baseband signal generation in modern communications systems is performed digitally, it makes sense to implement the linearization right at baseband, in the form of one more stage in the baseband generation process. The predistortion method of nonlinear distortion correction is the most suitable technique for this purpose, because the whole process is carried out before the power amplifier and immediately after the modulation takes place. This thesis generically analyses the predistortion method of nonlinear distortion reduction, and applies the concepts to implement a practical wideband digital predistorter. Methods for the cancellation of imperfections in the linearizer itself are developed, and its performance evaluated as a function of a variety of system parameters. The cancellation of memory effects in the power amplifier is also considered. Experimental results are shown for various signals, and for traveling wave tubes or solid-state power amplifiers, demonstrating correction bandwidths of up to 100 MHz, and cancellation of distortion products of up to 25 db. These results are considered state of the art for today s standards. The bandwidth is only limited by the processing speed of the available digital processing devices; wider bandwidths are certainly possible in the near future without changes to the algorithms developed.

15

16 CHAPTER 1. INTRODUCTION INTRODUCTION This doctoral thesis is on the reduction of non-linear distortion in communications systems by using digital signal processing. Distortion can be understood as a measure of the difference in shape between the input and output signals of a system. If the distortion does not generate additional signals at the output of the system, it is called linear distortion, and it can be reduced by using an equalizer. However, if the distortion is such that new signals (with new frequencies) appear at the output of the system, it is called non-linear distortion. This type of distortion is of concern because those additional signals may interfere with other communications systems. Non-linear distortion was not much of a problem many years ago when communications systems utilized frequency modulation; this type of modulation does not introduce amplitude variations in the envelope of the signal being transmitted, for which power amplifiers could be operated in class-c, achieving high efficiencies. Today s communication systems make use of spectrum-efficient modulations that have variable envelope. At the same time, the tendency is to use a single power amplifier for multi-carrier transmissions. In both cases, any amplitude or phase distortion of the signal as a function of its level will generate additional undesired signals called intermodulation distortion products (IMD). The gain of a Radio Frequency (RF) power amplifier is typically not a constant at all output power levels. The magnitude of the gain decreases when the output power approaches the saturation level (which is the maximum achievable output power), and the phase of the gain could either increase or decrease, depending on the type of active device utilized by the power amplifier.

17 2 The major contribution to non-linear distortion occurs in the high power region. Hence, one method to minimize the non-linear distortion consists of the reduction of the input power in order to operate the power amplifier in its low power region. Unfortunately, the reduction of the output power, also called back-off, degrades the power efficiency. Low distortion and high efficiency go in opposite ways, and therefore a compromise solution is often found. Many systematic methods of non-linear distortion reduction, often called linearization, have been developed [Katz, Ref. 47 and 67]. Among all methods, predistortion and feed-forward are the two that provide the best results. Feed-forward linearization consists of the cancellation of the distortion products at the output of the power amplifier. To achieve this, a small portion of the input signal to the power amplifier is subtracted from a sample of the output of the power amplifier, obtaining in this way a third signal that only contains the distortion products of the amplifier. Then, the distortion signal is amplified by a low distortion auxiliary amplifier and subtracted from the output of the power amplifier, canceling out the distortion. Feed-forward linearization has been successfully employed in many communication systems, but it is difficult to add to existing amplifiers, and can only provide good results if the output back-off level of the power amplifier is in the order of 6 to 7 db. Predistortion linearization has been in use for many years as well, particularly in the satellite and microwave industries. A predistorter is a device that precedes a non-linear device such as an RF power amplifier. The magnitude of the predistorter gain increases when the magnitude of the power amplifier gain decreases, and the phase of the predistorter gain is the negative of the phase of the power amplifier gain. The net result is that the magnitude and phase of the gain of the two devices in cascade becomes approximately a constant until the power amplifier reaches saturation. Predistortion linearization can provide good results (50 db of signal to IMD ratio) even at output power levels close to saturation.

18 3 Many practical analog predistorters have been developed for the satellite and microwave markets; in all cases, an analog, low level RF signal is applied to the input of the predistorter, and its output is connected to the power amplifier. Sometimes, digital controls are provided to set the predistorter operating parameters, but the signal processing is still entirely analog. Interestingly, most of the modern communications systems designed today use digital signal processing for the generation of the baseband signal. The availability of such a signal in digital format makes it possible to move the predistorter in the communications system chain from preceding the power amplifier to immediately after the baseband generation. This is desirable since a lower implementation cost and better performance could be possible. In a digital predistorter, as shown in Figure 1.1.1, the complex baseband digital signal produced after the modulation takes place is used for the calculation of the signal magnitude. Each magnitude value is then used as the index in a look up table, where the coefficients of the predistorter gain have been previously stored. Finally, the complex signal is multiplied by the predistorter gain, and converted to an analog signal by two digital to analog converters, followed by reconstruction filters to smooth out the signal and restrict its bandwidth. A quadrature upconverter or modulator is then used to shift the baseband signal to the radio frequency of operation. If the predistorter is designed to adjust itself automatically to cancel the non-linearity of the power amplifier, a feedback path must be provided. This is accomplished by sampling the output of the power amplifier, downconverting the signal to baseband with the same local oscillator used for the upconversion (to preserve the signal phase coherence), and converting the signal to digital with two analog to digital converters. Anti-alias filters are necessary before the conversion to analog to prevent unwanted signals or noise from creating alias responses. One method to determine the predistortion coefficients is to compare, in the time domain, the input and output waveforms of the power amplifier. The test signal must have such amplitude that its peak reaches the saturation point of the power amplifier in order to know its gain for the full signal excursion. The magnitude of the gain of the predistorter is calculated as the reciprocal of the magnitude of the power

19 4 amplifier gain, and the phase of the gain of the predistorter is calculated as the negative of the phase of the power amplifier gain. In addition, the output of the power amplifier must become K times the input to the predistorter, where K is the net gain of the system. I I DAC LPF DIGITAL BASEBAND INPUT MAGNITUDE GENERATOR COMPLEX MULTIPLIER 90º PA Q Q DAC LPF X Y LOOK UP TABLE LO PREDIST. COEFFICIENTS I ADC LPF ADAPTATION DEVICE 90º Q ADC DAC: Digital to Analog Converter ADC: Analog to Digital Converter LPF: Low Pass Filter PA: Power Amplifier LO: Local Oscillator Figure Digital adaptive predistorter block diagram Ideally, the non-linear behavior of an RF power amplifier should be the same for any type of signal and at all frequencies; this would be the case of a memoryless amplifier. Unfortunately, most RF power amplifiers have some degree of memory, for which their output will depend not only on the current input signal, but also its past. In other words, the output signal will be affected, i.e., by the frequency of the envelope of the signal, the frequency of the signal itself and temperature.

20 5 Memory effects must be taken into account during the design of the predistorter if good performance is expected. Because the IMD cancellation is basically a sum of vectors of opposite phase and equal amplitude, memory effects will introduce small changes in those vectors that will degrade the cancellation of IMD products. For the same reason stated above, any linear distortion in the signal path between the predistorter and the power amplifier will also affect the performance of the predistorter. Careful equalization is necessary to correct for linear distortions introduced by any of the components of the linearizer.

21 1.2. MAJOR CONTRIBUTIONS 6 The research work reported in this doctoral thesis is the result of the need to improve the linearity of RF power amplifiers in communication systems, in order to increase power efficiency and reduce undesired emissions at the same time. Linearization techniques have been employed for many years; even vacuum tube audio amplifiers used some form of feedback linearization to reduce distortion. More advanced analog techniques were developed later for RF power amplifiers. Analog linearizers are well documented in the literature. In the last few years, the speed of digital signal processors and analog to digital / digital to analog converters increased to the point that it became possible to entirely implement the baseband modulation in communication equipment by using digital signal processing. It became obvious that it would be desirable to implement the linearizer, in this particular case a predistorter, also in digital format. This doctoral thesis makes available the following contributions, not found in the literature, to the field of the digital correction of non-linear distortion in RF power amplifiers: 1. Implementation of a practical wideband digital adaptive predistorter, utilized to reduce the nonlinear distortion of RF power amplifiers built with traveling wave tubes or solid-state active devices. Its non-linear distortion cancellation and signal correction bandwidth are significantly better than those reported in the literature to this date. 2. Analysis of the influence of various types of linear distortions to the predistorter performance, and development of practical equalization techniques to reduce them. 3. Practical method to dynamically characterize non-linear devices in very short time, taking into account memory effects.

22 7 4. Analysis of various memory effects, and development of methods to reduce their influence to predistorter performance. 5. Analysis of the predistorter performance versus systems parameters, such as quantization levels, predistorter table sizes, signal probability density function and amplitude/phase errors in the forward and feedback paths. The digital predistorter described in this doctoral thesis has the potential to be used to reduce non-linear distortion not only in communications systems, but also in other systems where non-linear distortion is present and the signal is available in digital format; examples are high-efficiency audio power amplifiers, digital imaging devices, radio-over-fiber systems, etc. In addition, post-distortion (correction of the distortion after it occurs) can be used in software defined radios to improve the linearity of a receiver s analog front-end.

23 CHAPTER 2. REVIEW OF THE LITERATURE REVIEW OF THE LITERATURE An extensive review of the available publications on digital predistortion and related topics has been carried out. The list of publications, including author s names, title, source and date appear under the title List of References, on page 173. To facilitate the analysis, the literature has been organized in five different topics, as detailed below: A - Digital predistortion The most relevant publications on digital predistortion, including overview papers. B - Linear distortions Papers on the analysis and correction of linear distortion that can degrade the performance of the digital predistorter. C - Memory effects Papers on the analysis and correction of memory effects of the non-linear device that can degrade the performance of the predistorter. D - Characterization of non-linear devices Literature on methods to measure the complex gain of a non-linear device as a function of power. E Digital predistorter performance vs. system parameters Publications on the performance of a digital predistorter as a function of a variety of parameters that must be chosen during the design process.

24 REVIEW OF LITERATURE ON DIGITAL PREDISTORTION 9 There have been a good number of publications on digital predistortion in the last twenty years. The first papers dealt with the improvement of the constellation points of a digital transmitter, more than on the spectrum regrowth [Grabowski, Ref. 72, Shanmugan, Ref. 74, Saleh, Ref. 75]. When the occupancy of adjacent channels became more of a problem, the research was focused more on the Intermodulation Distortion (IMD) products than the bit error rate. The first practical implementation of a gain based digital predistorter was proposed by James Cavers [Ref. 37] in Before this method, the majority of the digital predistorters were based on the mapping predistorter principle, in which each possible signal level was directly mapped to another output level. Not much improvement was achieved over the basic idea of the gain based predistorter, because it seems to be practical and efficient. However, the reduction of distortion and the frequency range over which the distortion is corrected, often called signal correction bandwidth was far from the need of most applications, and digital predistorters remained as laboratory experiments. As an example, signal correction bandwidths of 8 KHz were reported in Ref. 37. Table reviews the weaknesses and strengths of the most relevant publications on digital predistortion. Very few of the proposals perform corrections of memory effects or linear distortions; this is, in fact, one of the key issues in obtaining greater bandwidths while still maintaining good distortion cancellation. As a way of showing how the distortion cancellation and the signal correction bandwidth interact, Figure depicts a plot of the distortion reduction as a function of the linearization bandwidth for the most relevant papers in which real implementations of digital predistorters, and not simulations, were carried out in practice. There have been no published experimental results, to this date, that make an outstanding improvement and break with this apparent trend.

25 Table Analysis of the literature on digital predistortion linearization 10 Ref. Number - Topic Weakness Strength Author 2 Jeckeln, et al Digital predistorter -Not 100% digital approach using analog IQ modulator 7 Ren, et al Simulation of a digital mapping predistorter -Only simulation results -The mapping predistorter requires large memory size 9 Stapleton Complex gain -Overview paper predistorter 10 Sills Digital predistorter -Wideband, but only 5 db distortion cancellation 18 Zavosh, et al Digital predistorter for CDMA -20 db improvement across 3 MHz 19 Wright, et al Complex gain predistorter -Very narrowband (8 KHz) -Few details provided 20 Goeckler Simulation of a -Only simulated results digital predistorter 26 Jeckeln, et al Adaptive digital predistorter shown -20 db distortion cancellation -Narrowband (60 KHz) 28 Zavosh, et al Digital predistorter -Narrowband -Analyses performance versus table size and quantization level, but not the combined effects 31 Andreoli, et al Digital predistorter -10 db improvement across 10 MHz -Few details provided 36 Stapleton, et al Adaptive predistorter using complex spectral convolution -Narrowband (8 KHz) -11 db distortion cancellation 37 Cavers Gain based predistorter 38 Davis, et al Digital predistorter -Non-linear device static gain measurement -10 db improvement across 1 MHz 45 Kenington, et GSM-EDGE al predistorter 89 Hammi, et al Digital predistorter using sub-band technique 93 Ding, et al Digital predistorter with memory polynomials -Not 100% digital -800 KHz bandwidth - Only simulation results shown - No other memory effects compensation - Only simulation results shown -Polynomial approach is hard to implement -No other memory effects correction -Introduces the concept of periodic update -Introduces the gain based predistorter concept - Filters used to compensate for temperature changes - Uses filters to correct for frequency memory effects

26 11 Linearization bandwidth vs. distortion reduction 25 Distortion reduction [db] Linearization bandwidth [MHz] Figure Distortion reduction versus signal correction bandwidth for various digital predistorters implementations, as reported in the literature REVIEW OF LITERATURE ON LINEAR DISTORTIONS IN DIGITAL PREDISTORTERS The performance of the digital predistorter can be affected by linear distortion, which must be reduced. The linear distortion can occur in the predistorter s forward or feedback path. The major contributors to this type of distortion are the quadrature modulator and demodulator, and the reconstruction and anti-alias filters. The effects of the linear distortions in quadrature demodulators were shown to be a detriment to the performance of regular direct conversion receivers [Voudouris, Ref. 13, Cavers, Ref. 41] and digital predistorters [Ren, Ref. 12 and 25, Cavers, Ref. 16 and 22]. Cavers papers provide solutions to these problems, particularly in Ref. 22.

27 12 Table Analysis of the literature on linear distortions in digital predistorters Ref. number - Topic Weakness Strength Author 12 Ren, et al Effects of demodulator errors Does not recommend a solution to the problem on predistortion 13 - Voudouris, et al Effects of amplitude, phase and frequency errors in DC Does not recommend a solution to the problem receivers 16 Cavers Errors in quadrature modulator and demod. in adaptive predistorters 17 Sundstrom, et al Effects of reconstruction filters in predistorters 22 Cavers Errors in quadrature modulator and demod. in adaptive predistorters 23 Runton, et al Modulator compensation for CDMA 24 Faulkner, et al Effects of quadrature modulator errors on output spectrum of an amplifier 25 Ren, et al Effects of demodulator errors on predistortion 41 Cavers, et al Compensation for errors in direct conversion receivers 42 Faulkner, et al Correction of quadrature modulators The solution does not account for equal delays in the real and imaginary signal Does not provide a solution to the problem Reviews Ref. 22 for a CDMA signal Does not provide a solution to the problem Does not provide a solution to the problem Not specific for adaptive predistortion First paper to analyze in depth this issue. Provides good insight First paper to analyze this problem Provides a better solution than Ref. 16 (by the same author) Provides good analysis. The results can be applied to a linearized amplifier Similar to Ref. to 22, but specific for direct conversion receivers First paper to analyze the problem

28 13 The effect of quadrature modulator errors is similar to the quadrature demodulator errors, and the analysis and correction can be performed in similar ways [Cavers, Ref. 22, Runton, Ref. 23, Faulkner, Ref. 24 and 42]. Again, Cavers papers provide the most comprehensive analysis on the issue. The linear distortions introduced by the reconstruction filters in a digital predistorter are not well covered in the literature [Sundstrom, Ref. 17]. Although an analysis of the effects of the linear distortions is carried out, solutions to the problem are not provided. The linear distortions introduced by the anti-alias filters in the feedback path, and their corrections, are also of concern. No literature was found on this topic REVIEW OF LITERATURE ON MEMORY EFFECTS IN DIGITAL PREDISTORTERS Memory effects can degrade the performance of the digital predistorter just like linear distortions, so they must be reduced. Memory effects are more difficult to deal with than linear distortions because they are produced by a multiplicity of factors. Many papers describe methods to measure memory effects in RF power amplifiers [Bosch, Ref. 33, Ku, Ref. 66, Voulevi, Ref. 77,]. Those methods require the use of test equipment, and as a result are not practical in a digital predistorter implementation. Other papers [Ku, Ref. 66, Boumaiza, Ref. 82, Jeckeln, Ref. 90,], propose memory effects models, which are again not practical in a digital predistorter implementation, although they provide some insight into the causes of memory effects. In recent years, some proposals have been made to measure and correct for memory effects in RF power amplifiers [Franco, Ref. 69, Boumaiza, Ref. 82, Ding, Ref. 93]. Not all major memory effects are considered, and only two of the papers show experimental results with actual memory effects correction.

29 Table Analysis of the literature on memory effects in digital predistorters 14 Ref. number - Topic Weakness Strength Author 3 Katz Measurement of AM/PM in GaAs FET amplifiers - Does not apply directly to a digital predistorter 33 Bosch, et al Measurement and Test equipment (two simulation of mem. network analyzers) are eff. in predistorters needed for the measurement 66 Ku, et al Measurement of memory effects 69 Franco Minimization of memory effects 77 Vuolevi, et al Measurement of memory effects 82 Boumaiza, et al Modeling of thermal memory effects 90 Jeckeln, et al Modeling of memory effects 93 Ding, et al Digital predistorter with memory polynomials 94 Borges de Carvalho, et al Analysis of asymmetry in distortion sidebands Does not show linearization results Provides few details on the digital solution Methods is complicated and impractical for a digital linearizer Requires sampling of the input of the power amplifier - Only simulation results shown -Polynomial approach is hard to implement -No other memory effects correction The analysis is device oriented and does not provide a solution for digital linearization Introduces the concept of sideband asymmetry due to simultaneous AM and FM Proposes an accurate polynomial model with delay taps Identifies sources of memory effects and provides analog and digital methods for correction Analyses thermal and electrical memory effects Provides method to compensate for thermal memory effects Uses filters to correct for frequency memory effects REVIEW OF LITERATURE ON THE CHARACTERIZATION OF NON-LINEAR DEVICES A key issue in the performance of a digital linearizer is to accurately characterize the non-linear device. It is desirable to develop a method that does not require the use of test equipment; this not only increases cost, but it can be very impractical and will prevent the self-adaptation of the digital predistorter during regular use.

30 15 The majority of the papers unfortunately propose the use of test equipment for the measurement [Clark, Ref. 4, Heutmaker, Ref. 14, Gutierrez, Ref. 21, Van Moer, Ref. 27, He, Ref. 44]. An additional problem with this approach is that only the non-linear gain of the RF power amplifier can be measured and used for the calculation of the predistorter. Any other non-linearity in the rest of the system will not be taken into account. Table Analysis of the literature on the characterization of non-linear devices Ref. number - Topic Weakness Strength Author 4 Clark, et al Measurement of AM/AM and AM/PM using twotone signals - Requires test equipment - Not useful for a digital predistorter - Amplitude measurement errors influence on calculated phase accuracy 14 Heutmaker, et al Distortion measurement using - Requires test equipment - Not useful for a digital 21 Gutierrez, et al 27 Van Moer, et al 29 Moulthrop, et al BPSK signals Nonlinear gain modeling using power series Nonlinear modeling of spectrum regrowth Dynamic AM/AM and AM/PM measurement 44 He, at al Measurement of AM/AM and AM/PM at constant junction temperature 55 Park, et al Measurement of instantaneous AM/AM response 83 Boumaiza, et al Realistic power amplifier characterization predistorter - Requires test equipment - Not useful for a digital predistorter - Uses static measurements - Requires test equipment - Not useful for a digital predistorter Paper published prior to Ref. 4 by the same authors - Requires test equipment - Not useful for a digital predistorter Does not include AM/PM measurements - Cannot measure memory effects accurately because it uses wideband test signals - Multitone signals don t permit the measurement at one particular envelope frequency Introduces the concept that temperature affects the AM/AM and AM/PM response of an RF power amplifier - Performs instantaneous measurements - Can be used with a digital predistorter

31 16 A few papers propose methods of measuring the non-linear gain of RF power amplifiers that do not require test equipment, can be performed instantaneously, and include other non-linear elements (i.e., quadrature modulator). However, they either do not take into account the AM/PM conversion [Park, Ref. 55], or have the drawback of using wideband signals that do not permit the analysis of the non-linear distortion as a function of the frequency of the envelope of the signal [Boumaiza, Ref. 83] REVIEW OF LITEARTURE ON DIGITAL PREDISTORTER PERFORMANCE VERSUS SYSTEM PARAMETERS During the design of a digital predistorter, it is important to know the performance that could be obtained as a function of major systems parameters; for example, quantization levels, predistorter table size, etc. This information becomes more relevant when the performance can be known simultaneously for all system parameters, as there might be a certain degree of interaction among them. Unfortunately, the literature research did not provide much information on this matter. Only one paper [Zavosh, Ref. 28] provides some insight into the problem, but does not contribute with information on the interaction of the different system parameters.

32 2.2. PROBLEM STATEMENT 17 An extensive literature search on the topics that relate to digital predistortion linearization was performed in order to identify the state of the art in this field. Digital predistortion seems to be well documented. Papers on this subject have been published for over 20 years. The results they provide show that the non-linear distortion correction bandwidth and the non-linear distortion cancellation are closely related. The main factors that limit the performance of the digital predistorter are linear distortions and memory effects in the digital predistorter and the RF power amplifier. The literature covers the analysis of linear distortions, and provides some methods for correction of the quadrature modulator and demodulator errors, but there is less information on the linear distortions introduced by the reconstruction and anti-alias filters. Memory effects measurement techniques are presented in various papers, but most of them require the use of test equipment, which is not practical in the case of a digital predistorter. Besides, the main focus has been on the measurement and analysis of memory effects, but very little has been published with regards to the correction of memory effects to improve the performance of digital predistortion systems. One key issue in the successful implementation of a digital predistorter is to be able to characterize the nonlinear behavior of the RF power amplifier and other system elements that contribute additional non-linear distortion. The methods covered by the literature are mostly test-equipment based. Very few papers include analysis and experimental results utilizing instantaneous methods that comprise all major non-linear distortion sources and permit the measurement of non-linear distortion at certain signal envelope frequencies (necessary for the simultaneous characterization of memory effects).

33 18 Finally, an important issue during the design of a digital predistorter is to be able to predict what level of performance can be expected as a function of major system parameters, and the effects of their interaction. The literature analyzed provided very little information on this subject. Taking into account the above-mentioned statements, the following research is proposed: 1. Develop a practical adaptive, gain-based digital predistorter that can provide 15 db or more of distortion cancellation at signal correction bandwidths of at least 100 MHz. 2. In order to achieve the above goal, develop methods for the correction of linear distortions and the most significant memory effects. 3. Analyze the performance of the digital predistorter as a function of several key system parameters, obtaining results for all of these parameters simultaneously. 4. Perform measurements with solid state power amplifiers and traveling wave tube amplifiers, both at different back-off levels and with multiple types of waveforms, to demonstrate the versatility of the digital predistorter design.

34 CHAPTER 3. DIGITAL PREDISTORTION LINEARIZATION 19 Chapter 3 of the thesis discusses the theoretical background of digital predistortion linearization. Section 1 provides definitions of basic concepts and proposes a power amplifier model and a predistorter model. The analysis is performed in continuous time. The analysis is extended in section 2 to discrete time, and discusses several practical methods of digital predistortion implementations, identifying the various digital predistorter components (forward and feedback paths). Section 3 analyzes the imperfections of the feedback path (used for the predistorter self-adjustment), the effects of those imperfections in the performance of the predistorter, and methods to reduce their effects. The forward path imperfections are considered in Section 4. Methods for the characterization of the nonlinearities of the power amplifier are considered in Section 5. A fast, simple and reliable method is described. The analysis of the effects of memory in the power amplifier, their causes, and their effects on the performance of the predistorter are considered in Section 6. Methods to gauge and minimize the effects of memory are also discussed. Finally, a concise simulation of a digital predistorter to study its performance as a function of key design parameters, which are also defined, is presented in Section 7. Because the sensitivity of the predistorter is a function of multiple parameters simultaneously, several plots are shown to demonstrate how they are interrelated.

35 3.1. THEORETICAL BACKGROUND OF PREDISTORTION LINEARIZATION DISTORTION A system with transfer function T[v i, f] is considered distortionless if for any given input signal v i (t), its output signal v o (t) only differs from the input by a multiplying constant K and a positive finite time delay τ. Analytically (Carlson, Ref. 95, page 89), vo ( t) = Kvi ( t τ ) (3-1.1) Based on the above, the system shown in Figure will be distortionless if for all time t the distortion D(t) equals zero D( t) = T[ vi ( t), f ] Kvi ( t τ ) = 0 (3-1.2) (t) v i SYSTEM UNDER ANALYSIS T[v i,, f] v o (t) -K τ D(t) Figure Block diagram used for the definition of distortion in a system If the distortion D ( t) 0, the system generates distortion, and then the distortion D(t) can be classified as follows:

36 A - For linear systems, the transfer function of the system of Figure can be written as 21 jθ ( f ) T ( f ) = T ( f ) e (3-1.3) Amplitude distortion occurs when T ( f ) const. for all f (3-1.4) Phase or delay distortion occurs when θ ( f ) 2πfτ for all f (3-1.5) which means that the system does not have a constant delay as a function of frequency. Amplitude and phase distortions are often called linear distortions, because they are linear processes and can be corrected by using a linear filter. They are of concern in this thesis because they can affect the performance of the predistortion linearizer. B Nonlinear distortion occurs when the system includes nonlinear elements. If the system of Figure is memoryless, its transfer characteristic v o (t)=t [v i (t)] can be approximated by using a power series with coefficients a n (Jeruchim, et al, Ref. 96, page 207) n v o( t) anvi ( t) (3-1.6) n=1 All powers of v i (t) greater than 1 in Equation give rise to the nonlinear distortion.

37 Non-linear distortion analysis for sinusoidal signals Measures of non-linear distortion 22 For a single-tone sinusoidal input signal to the system, non-linear distortion can be measured as total harmonic distortion, or THD, defined as the ratio of (a) the sum of the powers of all harmonic frequencies above the fundamental frequency of the test signal to (b) the power of the fundamental test frequency. If P 1 2 is the fundamental signal power, and P 2 2 to P n 2 are the powers of the n th harmonics of the input signal, THD can be written as P1 2 n P2 P... P THD = (3-1.7) Another type of non-linear distortion occurs when two or more sinusoidal input signals of different frequencies are applied to the input of a non-linear system. In this case, the output signal will contain new signals not existent at the input, whose frequencies are not only harmonically related to the fundamental tones. If a power series like Eq is used to approximate the transfer characteristic of a system, the output of the system v o (t) can be found by replacing v i (t) with a two-tone test signal of amplitude A=1 [ cosα cos β ] v i ( t) = A (3-1.8) where α = ω 1 t and β = ω 2 t and ω 1 and ω 2 are the frequencies of each tone and t is the time. The output v o (t) will contain the two tones plus new signals of different frequencies (some very close to the input tones). Those frequencies are given by 2ω 2 - ω 1, 2ω 1 - ω 2, 3ω 2-2ω 1, 3ω 2-2ω 1, etc., and they are often called intermodulation distortion products or IMD. Based on the sum of the coefficients of each term, 2ω 2 - ω 1 is a third order IMD (21), 3ω 2-2ω 1 is a fifth order IMD (32), etc. IMD products are a major problem in communication systems, because their frequencies usually fall very close to the fundamental signal and linear filters cannot eliminate them, but a predistortion linearizer can

38 23 reduce them. It is customary to measure intermodulation distortion as a db ratio between the power in third order IMD products and the power in the fundamental tones. As an example, the distortion D(t) (Eq ) of the system of Figure with τ = 0, for a third degree nonlinearity represented by a power series like Eq , and the two-tone input signal of Eq will be { } [ ] { [ ]} β α β β β α β α α α β α β α β α β α β α β β α β α α β β α α β α β α β α β α β α cos cos cos3 4 1 cos 4 3 cos cos cos cos cos3 4 1 cos 4 3 cos ) cos( ) cos( cos cos cos ) ( cos cos cos cos 3cos cos 3cos cos cos cos 2cos cos cos cos ) ( cos cos ) cos (cos ) cos (cos ) cos (cos ) ( K K a a a a D t K K a a a a D t K K a a a D t = = = β α β β α β α β α β α β α β α α α β α β β α β α cos cos cos3 4 1 cos 4 3 ) cos(2 4 3 ) cos(2 4 3 cos 2 3 ) cos(2 4 3 ) cos(2 4 3 cos 2 3 cos3 4 1 cos 4 3 ) cos( ) cos( cos cos cos cos ) ( K K a a a a D t = β α β α β β α β α α α β α β β α β α cos3 4 ) cos(2 4 3 ) cos(2 4 3 ) cos(2 4 3 ) cos(2 4 3 cos3 4 ) cos( ) cos( cos 2 2 cos 2 2 cos 4 9 cos 4 9 ) ( a a a a a a a a a a a K a a K a a D t = If in Equation K equals a 3 a, the resultant distortion D(t) is

39 24 a2 a2 a3 D( t) = a2 cos 2α cos 2β a2 cos( α β ) a2 cos( α β ) cos3α a3 3a3 3a3 3a3 a3 cos(2α β ) cos(2α β ) cos(2β α) cos(2β α) cos3β (3-1.9) The THD can now be calculated with Equation a2 a3 2 4 THD = 2 3 a1 a3 4 and the intermodulation distortion products will be: Second order a 2 cos( β α) a 2 cos( β α ) Third order 3 a 3 cos(2 β α ) 4 3 a 3 cos(2 α β ) 4 3 a 3 cos(2 α β ) 4 3 a 3 cos(2 β α ) 4 Figure represents Equation as a function of frequency.

40 25 Distortion (ω 1 ) (ω 2 ) 2ω 1 ω 2 2ω 2 ω 1 2ω 1 ω 2 2ω 2 - ω 1 2ω 1 2ω 2 ω 2 - ω 1 ω 2 ω 1 3ω 1 3ω 2 Frequency Figure Graphical representation of distortion from equation as a function of frequency RF POWER AMPLIFIER (PA) MODEL WITH MEMORY Distortion in Radio Frequency (RF) power amplifiers (PA) is a major problem in modern communications systems. Complex modulation schemes with non-constant envelope require highly linear processing. Power amplifiers play an important role because they are a main contributor to the overall distortion of a communication system. If the amplifier dynamic range is fully utilized, high amplitude signals will be subject to significant non-linear distortions. One simple way to improve the linearity of a power amplifier consists of reducing the amplitude of the signal being amplified in order to operate the amplifier in its linear region; this procedure is called back-off. Although this approach may work, sometimes 10 db (10 times) of output power reduction is needed. Additionally, the efficiency of a class AB power amplifier operated at such low power level is also very low, in the order of 10 to 20 % [Cripps, Ref. 79, Page 24, 27, 28]. This is usually unacceptable in battery operated equipment, or high power systems where power supply size and heat dissipation could be an issue. The overall cost of an over-sized power amplifier, including the corresponding power supply and cooling system, may also be prohibitive.

41 26 Figure shows a plot of the gain of a Traveling Wave Tube (TWT) amplifier as a function of its input power. Gain compression and phase shift are observed at large signal levels. A nonlinear power amplifier with memory can be approximately modeled as a nonlinear subsystem composed of an input linear filter, a nonlinearity, and an output linear filter cascaded (Jeruchim, et al, Ref. 96, pages 227, 230, 237), as shown in Figure Figure TWT power amplifier gain as a function of input power Top plot: (S21) magnitude of the gain compression, and output power (B) Bottom plot: relative phase of the gain The PA transfer function G of the system shown in Figure can be written as w ( t) = G{ x( t), ψ} (3-1.10)

42 where the key parameters of the PA are ψ=[bw, DR], and where BW is the PA bandwidth, and DR is the PA input dynamic range. DR sets the useful range of the input signal y(t) to the nonlinearity to 27 sat sat y < y( t) < y (3-1.11) where ± y sat is the saturation input signal to the nonlinearity (see Figure 3.1.5). BW limits the bandwidth of the PA between f m (minimum frequency) and f M (maximum frequency), while f 0 is the center frequency of operation of the PA. BW = f M f m (3-1.12) f < f 0 < (3-1.13) m f M LINEAR SUBSYSTEM NONLINEAR SUBSYSTEM LINEAR SUBSYSTEM X(f) x(t) H 1 (f) Y(f) y(t) f [y(t)] z(t) Z(f) H 2 (f) W(f) w(t) X(f) H 1 (f) Z(f) W(f) H 2 (f) f m f 0 f M freq f m f 0 f M freq f m f 0 f M freq Fig Power Amplifier model The transfer characteristic f [y(t)] of the nonlinear subsystem in Fig is shown in Fig For periodic signals, the input y(t) can be written as jφ( t) y( t) = Y ( t) e, and the output z(t) can be approximated by a power series with complex coefficients at f 0

43 28 m z( t) ( a jb ) y ( t) (3-1.14) n= 1 n n n where the a n and b n coefficients are experimentally determined and m is the highest degree of the power series (Kenington, Ref. 97, pages 75-78). Complex coefficients are required because, as shown in Figure 3.1.3, at a fixed frequency, a single carrier signal has a phase shift that is a function of the input level. The bandwidth limitations and memory of the PA model are created by the linear subsystems shown in Figure Experiments (Section of the thesis and Jeruchim, et al, Ref. 96, pages ) have shown that the single carrier nonlinear transfer characteristic curve of a PA is essentially the same across its operating frequency range and only minor variations are observed. These variations can be simulated by placing linear filters before and after the nonlinearity measured at a frequency f 0. These filters are assumed to have a bandwidth equal to BW (passband between f m and f M ). z(t)= f [y(t) ] z sat -y sat y sat y(t) -z sat Fig Transfer characteristic f [y(t)] of the nonlinear block of Fig at f 0 The transfer function H 1 ( f ) of the first filter can be written as

44 29 ) ( ) ( ) ( 2 1 f H f H f H ss = (3-1.15) where H ss ( f ) is the small signal transfer function of the entire system of Figure 3.1.4, measured as sat y t y ss f X f W f H << = ) ( ) ( ) ( ) ( (3-1.16) The transfer function of the second filter H 2 ( f ) is given by ) ( ) ( 2 f H f H sat = (3-1.17) where H sat ( f ) is the saturation transfer function of the system of Figure 3.1.4, measured as sat y t y sat f X f W f H = = ) ( ) ( ) ( ) ( (3-1.18) Both linear filters transfer functions can be expressed as ratios of polynomials in s of the form = = = = = = = = ) ( ) ( ) ( ) ( ) ( ) ( U u u u R r r r U u u u R r r r s g s f s D s N s H s d s c s D s N s H (3-1.19)

45 30 where the coefficients c r, d u, f r, g u and the polynomial orders R1, R2, U1 and U2 are determined experimentally. By using the expressions given in equations and , the mathematical expression of the PA model shown in Fig can be written as N 2 ( s) N1( s) W ( s) = F X ( s) (3-1.20) D2 ( s) D1 ( s) where N ( s) X ( D ( s) 1 ) 1 F s is the Laplace transform of f [ y t), s] (. Limitations of the PA model Both filters defined by H 1 (f) and H 2 (f) will appear to have the same bandwidth. The method developed to measure the transfer function of each filter does not allow for different individual bandwidths, although the transfer function may be different within the passband. The PA model transfer characteristic given by Equation is an approximation of the actual PA transfer characteristic since Equation is a finite power series PREDISTORTION LINEARIZATION Linearization is any systematic method of non-linear distortion reduction. Predistortion linearization is achieved by using a predistorter, which is a device that always precedes the RF power amplifier (PA). The predistorter gain increases when the magnitude of the power amplifier gain decreases and the phase of the

46 31 predistorter gain is the negative of the phase of the power amplifier gain. The net result is that the magnitude and phase of the gain of the two devices in cascade becomes approximately a constant until the power amplifier reaches saturation. The following assumptions are made for the calculation of a predistorter: The predistorter can only linearize up to saturation (see Fig ). Once the signal reaches saturation, the PA cannot deliver more power, and any increase in predistorter gain will only drive the PA even harder into saturation. z(t)= f [y(t) ] z sat -y sat y sat y(t) -z sat SATURATION REGION SATURATION REGION Fig Transfer characteristic f [y(t)] (blue trace) of the nonlinear block of Fig at f 0 showing the saturation regions and ideal linear response (black trace) The predistorter is intended to cancel only the odd order IMD products closer in frequency to the fundamental signal. Because only odd IMD products are considered, it will be assumed that the PA transfer characteristic is symmetrical, for which the predistorter transfer characteristic can also be symmetrical.

47 32 If only odd order close-in IMD products are considered, the PA bandwidth must be limited to the first harmonic zone, for which second order products will be attenuated by the harmonic filter. Higher order even products (i.e. certain 4 th order) may still occur within the PA bandwidth and will not be cancelled. In practice, this is usually not much of a concern because high order even IMD products are often very small and can be ignored. The signal bandwidth must be smaller than the PA bandwidth if any IMD products are to occur. For a two-tone sinusoidal signal, the highest order IMD products N IMD that can be cancelled is given by BW N IMD = (3-1.21) ω 1 ω 2 where BW is the PA bandwidth, and ω 1, ω 2 are the frequencies of the two tones. The PA model described above makes the assumption that both filters H 1 (f) and H 2 (f) are of the same bandwidth. If this is not the case in reality, and the bandwidth of H 1 (f) is smaller than the bandwidth of H 2 (f), some IMD products will not be cancelled (H 1 (f) will attenuate some IMD products generated by the predistorter). Calculation of the predistorter transfer function The transfer function of the predistorter of Figure can be written as x ( t) = Q{ a( t), ε} (3-1.22) where the key parameters of the predistorter are ε=[bw p, DR p ], and where BW p is the predistorter bandwidth, and DR p is the predistorter dynamic range.

48 33 a(t) Q{ a( t), ε} x(t) G{ x( t), ψ} w(t) PREDISTORTER POWER AMPLIFIER Fig System composed of a cascaded predistorter and power amplifier To eliminate the distortion of the PA, the predistorter transfer function Q { a( t), ε}, shown in Figure 3.1.7, must be such that a constant gain K is achieved w ( t) = K a( t τ ) (3-1.23) Note that the ideal predistorter can only eliminate any distortion for signals that do not saturate the PA, i.e. sat x( t) x, where x sat is the input saturation level of the PA nonlinearity. Equation will achieve D(t) = 0 when replacing T[v i,f] in Figure by the system shown in Figure To specify the predistorter transfer characteristic, the following two cases will be considered: 1 The PA has infinite bandwidth (memoryless), and its transfer function G{ x( t), ψ} can be modeled by the monotonic nonlinearity f [y(t)] of Figure The PA has finite bandwidth, as shown in Figure Predistorter for infinite bandwidth PA To facilitate the analysis, the nonlinearity of Figure is normalized so that the PA amplifier gain is unity at the saturation level (y sat = z sat = 1). The goal of the predistorter is to make the gain of the

49 34 predistorter-pa system also equal unity up to the saturation level (and achieving in this way a linear response), for which the output signal of a system (Fig ) composed of a memoryless predistorter with transfer function u[r(t)] and the PA represented by the nonlinearity f[y(t)] of Figure can be written as z ( t) = f [ u[ r( t)]] = r( t) (3-1.24) At first glance, it looks like the predistorter transfer function can be calculated as the reciprocal of the PA transfer function in order to compensate for the gain compression of the PA with a corresponding gain expansion by the predistorter. Unfortunately, every time the predistorter increases its gain, its output signal also increases and this forces the gain of the PA (at this new larger input signal) to decrease even more. This effect can be overcome if the predistorter transfer function is calculated as a function of the output signal of the PA, and not the input of the predistorter (this issue is also analyzed by Cripps, Ref. 79, page156). Analytically, 1 u [ z( t)] = (3-1.25) f [ y( t)] Note that an iterative solution of Equation will be required. Figure shows the PA gain (normalized to 1 at saturation), the PA output power, the predistorter gain and output power, and the predistorter-pa system (also called linearized PA) output power. r(t) u[ r( t)] y(t) f [ y( t)] z(t) PREDISTORTER POWER AMPLIFIER Fig Block diagram of the memoryless system predistorter-pa (or linearized PA)

50 Predistorter and PA gains and output powers PA output PD output PA gain PD gain Lin PA output 1 Power or Gain Linearized PA Input Power Figure PA, predistorter (PD) and linearized PA (Lin PA) gains/output powers By examining equation , it can be concluded that a predistorter cannot be implemented if 1. The gain of the PA is zero in any part of its domain (the predistorter should have infinite gain) 2. The transfer characteristic of the PA f [ x( t)] is not a monotonically increasing function (this will produce ambiguity in the calculation of the transfer characteristic of the predistorter) Both cases are depicted in Figure

51 36 Po Po PA transfer characteristic Pi Pi Po Po Pi Ideal predistorter transfer characteristic Pi Figure PA transfer characteristic special cases for which a predistorter cannot be implemented Predistorter for band-limited PA A detailed model of the band-limited predistorter/pa system is shown in Figure It can be observed that it consists of 4 linear subsystems and two nonlinear subsystems. The filters H 4 and H 3 of the predistorter are calculated in such a way that they make H 1 and H 2 ideal bandpass filters (gain equal 1 across the passband and phase shift equal zero). Analytically, H = 1 H 4 = H 2 H3 H ideal (3-1.26) Unfortunately, the bandwidth restriction introduced by the filters H 1-4 degrades the distortion cancellation properties of the predistorter. Figures and showed that the bandwidth occupied by a distorted signal is much wider than the bandwidth of the signal before the distortion takes place. If any of the

52 bandpass filters restricts the bandwidth of the output signal of the predistorter, the nonlinear distortion generated by the PA will not be completely cancelled. 37 PREDISTORTER A(f) a(t) H 3 (f) A(f) r(t) u [r(t)] b(t) B(f) H 4 (f) X(f) x(t) LINEAR SUBSYSTEMS Frequency domain NONLINEAR SUBSYSTEMS Time domain LINEAR SUBSYSTEMS Frequency domain W(f) H 2 (f) Z(f) z(t) f [y(t)] y(t) Y(f) H 1 (f) X(f) w(t) x(t) POWER AMPLIFIER Fig Detailed block diagram of the linearized band-limited power amplifier Even if the PA can be accurately described by a third degree power series (for which only third order intermodulation distortion products are generated), its inversion (in order to calculate the predistorter transfer function) will contain infinite terms (Cripps, Ref, 79, page 157). This implies that for perfect predistortion in terms of bandwidth, the predistorter must have infinite bandwidth. Depending on the ratio between the signal and the linearized PA bandwidths, the maximum order of IMD products that can be cancelled will vary. For instance, if a cubic PA is linearized by a cubic predistorter, both cubic distortion products will completely cancel, but detailed mathematical analysis (Cripps, Ref, 79, pages 163 to 178) shows that odd distortion products higher than third order will appear at the output of the nonlinearity of the PA (z(t) in Figure ). Although those high order distortion products will be filtered

53 38 by H 2, they also contain third order distortion that will not be filtered out. This third order residual distortion will impose a limit in the amount of third order cancellation by appearing at the output of the PA. The mathematics involved in the analysis of predistorters and power amplifiers described by finite power series can be extensive, and are partly developed in Ref. 79. However, Cripps shows various plots (Ref, 79, pages 163 to 178, figures 5.7 to 5.9) of IMD cancellation C b limited by bandwidth and output back-off. By analyzing his results in graphical form, the IMD cancellation C b as a function of output back-off and bandwidth for a cubic PA and two-tone signals can be approximated by BW C PA b OBO 2 (3-1.27) BWsig where O BO is the output back-off in db, BW PA is the bandwidth of the linearized PA, and BW sig is the signal bandwidth. Finally, the predistortion cancellation process is, in reality, an IMD vector cancellation. Even if a perfect predistorter is built, it will not be effective if amplitude or phase distortions are introduced between the predistorter and the PA. This can happen if the filter H 4 does not make the filter H 1 an ideal bandpass filter, or the same for the filters H 3 and H 2. The theoretical limit in IMD cancellation C e due to amplitude and phase distortions can be calculated as the ratio between the IMD products resulting from (a) the addition of the IMD products generated by the PA nonlinearity and the IMD products generated by the predistorter nonlinearity and (b) the IMD products generated by the PA nonlinearity by itself. By representing the IMD products with vectors, the maximum IMD cancellation (in db) C e can be calculated as a function of the amplitude and phase distortions by using trigonometric identities as 2 2 A e A e C = e 10log 10 sinϕ 1 10 cosϕ (3-1.28)

54 where φ is the phase distortion in degrees and A e is the amplitude distortion in db. 39 Figure shows the IMD cancellation limitations imposed by equations and for the case of a cubic PA and a two-tone signal. The continuous lines show the limitations in IMD cancellation due to the linearized PA bandwidth/signal bandwidth ratio and back-off level, and the dotted lines illustrate the limitation in IMD cancellation due to amplitude or phase distortions. Predistorter performance vs. bandwidth and magnitude/phase distortions (two-tone signal, cubic PA) IMD reduction [db] Predistorter-PA Bandwidth / Signal Bandwidth 3 db OBO, no lin. dist. 6 db OBO, no lin. dist. 0.1dB 0.1º lin. dist. 0.25dB 0.5º lin. dist. 1dB 2º lin. dist 0.01dB 0.1º lin. dist. Figure IMD reduction by predistortion vs. predistorter/pa bandwidth, signal bandwidth, output back-off (O BO ) and magnitude/phase distortions, as given by equations and

55 SUMMARY 40 Distortion in a power amplifier (PA) occurs when the output signal is not a scaled and delayed version of the input signal (independent of signal level or frequency). Distortion can be classified as linear (amplitude and phase) or non-linear, in which case additional signals of new frequencies will appear at the output. A PA model was analyzed, consisting of cascaded linear filters and a non-linearity. The filters provide the bandwidth limitations, and the non-linearity restricts the dynamic range and creates the non-linear distortion. A predistorter model was also developed. A predistorter is a device that precedes a PA and increases its gain when the PA gain decreases, and compensates for any phase shift in the PA as a function of signal level with an equal and opposite phase shift. The ideal net result is a linear PA of constant gain up to the saturation level. The non-linear distortion cancellation capability of the predistorter is limited by several factors, and a theoretical limit to this distortion cancellation was analyzed. As a conclusion, it can be said that it is not possible to achieve infinite cancellation of non-linear distortion if there exist bandwidth limitations in the PA/predistorter system or linear distortion between the predistorter and the PA.

56 3.2. DIGITAL PREDISTORTION LINEARIZER 41 Chapter 3, Section 1, set the basis for the predistortion method of linearization. It is possible to implement a predistorter only with analog components, and several successful attempts have been documented in the literature [Katz, Ref. 1, Hau, Ref. 40]. Good results can be achieved by using analog linearizers, but today s linearity specifications require improved performance. It is a very difficult task to find and tune (sometimes a work of art) an analog component or set of components to provide a desired non-linear behavior in order to build an effective analog predistorter, and maintain its performance in time and against environmental changes. In addition, memory effects could render an otherwise effective analog predistorter almost useless. The advent of high-speed digital circuits now permits the implementation of a fully digital solution, in which not only stability against temperature changes and time are easier to obtain, but also tuning can be accomplished automatically at the factory. Re-tuning of the unit in the field can be done in just a few seconds. Better distortion cancellation is possible, and some types of memory effects can be successfully corrected. All these concepts will be demonstrated in detail in the following sections.

57 PRINCIPLES OF DIGITAL PREDISTORTION 42 In Chapter 3, Section 1, a basic predistortion linearizer was analyzed. Basically, it consists of a device with a transfer function such that the intermodulation distortion products it generates cancel out those produced by the power amplifier. In today s designs, it is quite common to generate the baseband signal in digital form. Usually, the in-phase (I) and quadrature (Q) components are used for almost any kind of modulation scheme. I I DAC LPF MAPPING DEVICE LO 90º PA Q Q DAC LPF DAC: Digital to Analog Converter LPF: Low Pass Filter PA: Power Amplifier LO: Local Oscillator Figure Mapping digital predistorter block diagram In Chapter 3, Section 1, the expression for the gain of a predistorter was found (equation ). In a system with a predistorter and a cascaded power amplifier, a possible predistorter implementation consists of a device with its outputs I and Q mapped to the inputs I and Q. The mapping is defined by G(I,Q) where G is the gain of the predistorter. Note that G is a two-dimensional function, and it consists of G 1 (I,Q) and G 2 (I,Q). The output of the mapping device can be written as I' = G ( I, Q) 1 Q' = G ( I, Q) 2

58 43 A block diagram of this type of linearizer, called mapping predistorter is shown in Figure The mapping can be implemented by means of a look up table stored in memory (Ren and Wolff, Ref. 12). Because every possible input complex signal amplitude must have its correspondent complex output, the amount of memory needed can be quite large. The memory size as a function of the quantization level is Msize = 2n 2 2n where Msize is the memory size in bits and n is the word size in bits. For a 16 bit system, 138xE9 bits are needed. Later on, Cavers [Ref. 37] developed the gain based predistorter. The basic idea was to reduce the memory size by using interpolation to find intermediate values not included in the predistorter gain table, and to use the magnitude squared of the complex input signal as the table index (this restricts the non-linear distortion cancellation to odd-order intermodulation distortion products). Two tables are needed (X real and Y imaginary), and only 64 table entries per table were found to be satisfactory to obtain good performance. Figure shows a block diagram of a gain based predistorter, where the input is a discrete time digital sequence S in [n] and n is the sample number. I I DAC LPF S in [n] COMPLEX MULTIPLIER LO 90º PA Q Q DAC LPF X Y 2 S in (n) LOOK UP TABLE S in [ n] = I jq Figure Gain based digital predistorter block diagram

59 IMPLEMENTATION OF THE DIGITAL PREDISTORTER 44 In essence, the predistorter can be implemented as a complex multiplier between the signal and the predistortion gain coefficients X and Y, with the input signal magnitude acting as an index to the table. Figure shows a block diagram of the digital processing section of the digital gain based predistorter, or from now on, digital predistorter. A description of each block follows. Input Interpolator One of the objectives of the digital predistorter is to generate a sampled predistorted signal from the sampled input signal by modifying each successive voltage sample of the input signal. However, in order to cancel the intermodulation distortion products produced by the RF power amplifier (see Figure 3.2.4), the predistorted signal must have a bandwidth that is wider than the bandwidth of the input signal (the spectrum is wider because the predistorter also generates intermodulation distortion products at exactly the same frequencies the RF power amplifier does). To accomplish the sample-to-sample conversion of the narrow bandwidth input signal into the wide bandwidth predistorted signal, the sampling rate of the input signal must be increased (Sampling Theorem). The problem is solved by passing the input signal through the input interpolator. This device increases the sampling rate of the input signal above the minimum sampling rate specified by the Sampling Theorem (at least twice the maximum frequency of the signal to be sampled). The output bandwidth of the signal after predistortion, also called correction bandwidth, is determined by the highest order of intermodulation products to be cancelled. The interpolator consists of an upsampler followed by a low pass filter. The upsampler inserts U-1 zero amplitude samples between existing time samples, increasing the output sample rate by U times. The output signal can be written as Xin[ n / U ] Xout [ n] = 0 n = ku otherwise

60 45 where Xout[n] is the output signal as a function of n, the sample index or discrete time variable, Xin is the input to the upsampler, k is any integer, and U is the upsampling rate. The insertion of zero samples certainly does change the shape of the signal, and its output spectrum also changes. It can be demonstrated [Oppenheim, Ref. 39, page 173] that the spectrum of the output signal is a frequency-scaled version of the input, for which a low pass filter is required in order to remove undesired frequency components. The cut-off frequency of this lowpass filter is given by f c fs = 2U where f c is the low pass filter cut-off frequency, U is the upsampling rate, and f s is the original sampling frequency. Non-integer up-sampling rates are certainly possible, but will not be considered here because there is little practical value in using them for this particular application. COMPLEX MULTIPLIER I Q INPUT INTERPOLATOR UP SAMPLER UP SAMPLER LOW PASS FILTER LOW PASS FILTER MAGNITUDE GENERATOR LOOK UP TABLE I N T E R P O L A T I O N X Y I Q PREDISTORTER INPUT PREDISTORTER OUTPUT Figure Digital processing section of the predistortion linearizer

61 46 Magnitude Generator As described in 3.2.1, the gain of the gain-based digital predistorter is a function of the magnitude squared of the input voltage. The magnitude calculation will be used to find the complex predistortion gain coefficient in the look-up table. Predistortion Coefficient Look-up Table This single-entry, double-output table contains the complex gain predistortion coefficients. To maximize its performance, it is possible to make the input to the table a non-uniform distribution. The power amplifier non-linearity is worse at high input signal levels, so a larger number of table entries could be stored for strong signals, leaving a smaller number for weak signals, for which the power amplifier is almost linear. Look-up Table Piece-wise Linear Interpolator Due to the fact that the look-up table has only a few entries compared to the total possible input signal levels, it becomes necessary to interpolate between existing values in order to obtain the complex predistortion coefficients that correspond to the actual input signal magnitude.

62 T1 T2 Relative Amplitude th 3rd 3rd 5th Linearizer Output Linearizer Input 0.2 7th 7th 0 9th th SIGNAL BANDWIDTH CORRECTION BANDWIDTH Frequency Figure Spectrum of a two-tone signal before and after predistortion Complex Multiplier This block performs the complex multiplication between the input signal and the predistortion gain coefficients. The input signal to the predistorter S in, with real and imaginary components I and Q, and the complex predistortion coefficient P, with real and imaginary parts X and Y, can be written as Sin[ n] = I jq 2 P( ( Sin) ) = X jy The output of the predistorter S out as a function of the discrete time sample index n will be

63 48 2 Sout[ n] = P( ( Sin ) ) Sin[ n] = ( X jy ) ( I jq) I' = IX QY Sout[ n] = Q' = IY QX Sout[ n] = I' jq' where I and Q are S out real and imaginary components SUMMARY This section analyzes the digital implementation of a predistortion linearizer. It basically consists of a complex multiplier between the input signal and the predistorter gain, which is stored in a look up memory in the form of a table. The predistorter gain for each input signal sample is found by using the magnitude squared of the input signal as the table index. The output signal sampling rate must be much higher than the input to the linearizer. This is because there is an increase in signal bandwidth due to the linearization process (that creates additional frequency components). The output signal can only be correctly represented in discrete time samples if the sampling rate is also proportionally increased.

64 3.3. FEEDBACK PATH EQUALIZATION 49 A Digital Predistortion Linearizer was described in Section 3.2. For the analysis, only the forward path was considered. If the output of the non-linear device needs to be fed-back for self-adaptation purposes, then a feedback path must be provided. Such system consists of a quadrature demodulator (QD) or downconverter, with local oscillator (LO) signal supplied by the same source used for the upconversion, two analog anti-alias filters, and two Analog to Digital Converters (ADC s), one for the in-phase channel (I), and another one for the quadrature channel (Q). A block diagram of the predistorter with details of the feedback path appears in Figure For simplicity, the baseband signal generator or Digital Signal Synthesizer (DSS) and the predistorter are shown as a single block. DSS - PREDISTORTER I 0 QUADRATURE MODULATOR NON-LINEAR DEVICE (PA) DIRECTIONAL COUPLER LOAD Q 0 FEEDBACK PATH LOCAL OSCILLATOR COMPUTER (PC) A/D A/D ANTI-ALIAS FILTER ANTI-ALIAS FILTER I Q QUADRATURE DEMODULATOR Figure Digital predistorter with details of the feedback path In this section, the linear distortions of the feedback path will be analyzed, including methods for their characterization and correction.

65 LINEAR DISTORTIONS IN THE FEEDBACK PATH 50 Linear distortions are those distortions that are not a function of the input power or voltage. That is, no matter what the input signal level is, they will always be present. They can be a function of frequency, temperature or time. Quadrature demodulator (QD) imperfections affect the Bit Error Rate (BER) performance in a communication system by introducing linear distortions (Ren and Wolf, Ref. 12 and 25, Runton et al, Ref. 23, Faulkner and Mattsson, Ref. 24). In addition, imperfections in the anti-alias filters introduce memory effects that will increase the adjacent channel interference (Sundstrom et al, Ref. 59). Below is a list of common imperfections found in a system such as this feedback path in a digital predistorter. They will be analyzed in detail later in this section. A DC offset Local oscillator leakage through the QD will cause a dc level to be present in the captured waveform. In addition, the ADC s may also have a dc offset error. B Fixed time delays and phase shifts Even if each channel is individually equalized, particular attention still needs to be paid to the relative phase between them. Any time delay during the sampling process or difference from exact quadrature in the local oscillator signal must be corrected. Major sources for these errors are the analog to digital converters (and associated circuitry), which may not capture the data in exact synchronization, and the QD. C Frequency response The gain of the system must be the same across the entire operating bandwidth to avoid the introduction of memory effects. The anti-alias filters are major contributors to this distortion. Each channel (I and Q) must be individually equalized for flatness.

66 D Phase response 51 The phase of the I and Q channels should ideally be equal, and a linear function of frequency. Again, the anti-alias filters contribute to most of the phase distortion. Each channel must be individually equalized to zero phase at dc to avoid the addition of fixed delays. E Gain imbalance One of the conditions for proper QD performance is equal amplitude of the local oscillator signal applied to each mixer. This can also be seen as providing the same gain on each individual channel I and Q. Once each channel is individually equalized for flatness as described before in part C, the gain of both channels must be made the same. Non-linear distortions will not be considered in the analysis because the system will be operated in its linear region (the signals are backed-off from system saturation) ANALYSIS AND CORRECTION OF QUADRATURE DEMODULATOR IMPERFECTIONS The QD is used to down-convert the bandpass radio frequency (RF) spectrum of interest to a baseband (lowpass) complex signal. It is, in reality, a complex multiplier in which a real signal (RF) is multiplied by a complex LO signal, resulting in an in-phase signal I and a quadrature signal Q. Ideally, the QD gain must be flat over the frequencies of operation, and the same amount for both channels. No dc levels must be present in the lowpass signal. Non-linear phase response as a function of frequency should not occur. Finally, I and Q must be in perfect quadrature. A block diagram of a QD is shown in Figure

67 52 I L l cos( ω t ) l V cos( ω t) i RF LO L q sin( ω lt ) Q Figure Quadrature Demodulator The output signal I of the QD can be written as follows: Vi Ll I = 2 I = V cos( ω t) L cos( ω t)} i RF {cos[( ω ω ) t] cos[( ω ω ) t] RF l l l RF l where V i is the amplitude of the input signal to the demodulator, ω RF is its angular frequency, L l is the local oscillator amplitude, and ω l is its angular frequency. If we only consider the lower sideband signal (the upper sideband frequency is out of the frequency range of the system and can be ignored), the above equation can be written as follows: Vi Ll I = cos( ωrf ωl ) t (3-3.1) 2 A similar analysis can be done with the Q signal

68 53 Q = V cos( ω i RF t) L q sin( ω t) l Vi Lq Q = {sin[( ω 2 RF ω ) t] sin[( ω l RF ω ) t]} Vi Lq Q = sin( ωrf ωl ) t (3-3.2) 2 l DC Offset If there is leakage of LO signal through the quadrature demodulator, a dc level will appear on the I output. This can be understood as if the frequency of the input signal ω RF were the same as the frequency of the LO signal ω l. Assuming no other input signal to the QD but the LO leakage of amplitude V leak, the value of the dc level Idc becomes Vleak Ll Idc = cos( ωrf ωl ) t 2 If ω = ω, then RF l Vleak Ll V Idc = cos(0) = 2 Ll 2 leak The value of Idc will depend on the amount of LO signal V leak that leaks through the demodulator. No dc level will be present on the Q output because sin(0)=0. V Qdc = leak 2 L q sin( ω RF V ω ) t = l leak 2 L q sin(0) = 0 The Idc dc level can be cancelled by adding another dc level -Idc to the I output of the QD.

69 Amplitude Errors 54 The I and Q channels should not only have a flat frequency response, but also the gain should be the same for both. The QD could be a major contributor to this difference in gain. If it is assumed that the mixers themselves do not contribute to the unequal gain, then by examining the equations for the I and Q outputs (3-3.1 and 3-3.2), it can be seen that unequal gain will result if the amplitudes of L l and L q are different. This can be compensated after the QD by varying the individual gains of the I or Q channels. If the ratio between L l and L q is A lq Ll A lq = L q then the Q output of the QD can be multiplied by A lq in order to perform the gain compensation. Phase errors For this analysis, it will be assumed that the LO signals applied to the QD have the same amplitude, but are not in perfect quadrature. Having this in mind, the output of the QD will be re-written as follows: Q = Vi cos( ω RFt) Lq cos( ωlt θ ) (3-3.3) where θ is the phase between the LO signal applied to the Q mixer relative to the LO signal applied to the I mixer (θ is π/2 in an ideal case). Equation can also be written as Vi Lq Q = {cos[( ω RF ωl ) t θ ] cos[( ωrf ωl ) t θ ]} 2 Again, ignoring the upper sideband frequency because it is out of the frequency range of the system, Q becomes

70 55 Vi Lq Q = {cos[( ωrf ωl ) t θ ] 2 If θ were exactly π/2, then no difference would exist compared to the previous analysis (Eq ). The I channel is not considered in the analysis because it provides the reference phase for the overall signal. The phase error ϕ (phase between the actual Q channel LO and ideal quadrature Q channel LO) can be written as ϕ = θ π 2 Figure shows a vector diagram of I, Q, and the ideal Q signal (Q ) if ϕ were zero. Because the frequencies of I and Q are the same, it would be possible to add some of the reference signal I to Q in order to correct the phase of Q and make it exactly π/2 respect to I. The magnitude of this correcting signal Q c can be calculated by using the following equation: Q c = Q sinϕ If the I channel signal is used for the correction, and the magnitude of I equals the magnitude of Q, then the above equation can be re-written as follows: I c = I sinϕ Although Q is in quadrature with I, its magnitude will be smaller than the magnitude of Q (see Fig ). One method to correct this problem is to attenuate I to make it of the same magnitude as Q. If Q ' = Q cosϕ

71 consequently, 56 I ' = I cosϕ A complete block diagram containing all the QD corrections is shown in Figure For simplicity purposes, the low pass filters that attenuate the upper sideband at the output of the multipliers M1 and M2 have been omitted. Im Q Q ϕ θ I I Re Qc Ic Figure Quadrature demodulator phase correction vector diagram

72 57 M1 I -Idc cosϕ I ' V i cos( ω RFt ) L cos( ω t ) i L cos( ω t l θ ) q l sin ϕ Q Q' M2 A lq Figure Quadrature demodulator corrections ANTI-ALIAS FILTER IMPERFECTIONS As all analog filters can only be implemented with real components, the best one can do is to achieve a performance close to the ideally calculated one. In addition, some filters have ripple in their passband in order to obtain a steeper high frequency roll-off. This trade-off also limits the performance. An arbitrary analog filter in the feedback path (i.e., the anti-alias filters in Fig ) may cause memory effects that will severely affect the performance of the digital predistorter, causing an increase in adjacent channel interference (Sundstrom et al, Ref. 59).

73 58 Let s suppose we have a simple predistortion linearizer in which the output of the non-linear device is fed back for comparison with the input signal to the predistorter (Fig ). This time-domain comparison of the signals does not need to be done in real time; in actuality, several samples of both signals can be stored in memory, and once the delay between them has been found, the comparison can take place, and a correction can be calculated and then applied. If we have the case of a two-tone signal, we may have third and fifth order Intermodulation Distortion Products (IMD). It may happen that the frequency response of the anti-alias filters is such that it introduces some unwanted attenuation at the frequencies where the third order IMD occurs. If that signal is fed back for comparison, the adaptation algorithm has no way to correctly figure out what is happening at the output of the non-linear device, and an incorrect adaptation will occur. In this particular example, the filter has loss, so a lower than the actual value of third order IMD will be fed back, which will result in a higher amplitude of third order IMD at the output of the non-linear device, thus degrading the predistorter performance. The same effect will occur if the anti-alias filters introduce phase distortions, because the effective cancellation of distortion products requires high phase and amplitude accuracy of the canceling signal (this will be demonstrated in Chapter 3, Section 7, Predistorter performance versus system parameters ). Figure shows a simplified block diagram of a digital adaptive predistorter. The purpose of the adaptation device is to minimize the error signal Ve, which can be written as Ve = Vi Vf ' where Vi is and Vf are the two inputs to the adaptation device (see Figure 3.3.5).

74 An ideal anti-alias filter (low pass) should not introduce any linear distortion. The frequency response of such a filter within its passband could be written as 59 Fl ( f ) = 1 0º If the filter has imperfections, the above equation can be arranged as follows Fl ( f ) = 1 0º E( f ) where E( f ) are the imperfections of the filter. Vi PREDISTORTER QUADRATURE MODULATOR NON-LINEAR DEVICE (PA) DIRECTIONAL COUPLER LOAD Ve ADAPTATION DEVICE LOCAL OSCILLATOR EQUALIZER H( f ) Vf ANTI-ALIAS FILTER Fl( f ) Vf QUADRATURE DEMOD. Figure Simplified block diagram of a digital adaptive predistorter

75 60 If Vf is filtered before it is applied to the adaptation device with a filter that has a transfer function that is the reciprocal of the anti-alias filter Fl( f ), then the imperfections of the anti-alias filter can be cancelled out. The transfer function H( f ) of such a filter can be written as 1 1 H ( f ) = = Fl( f ) 1 0º E( f ) where E( f ) represents the imperfections of the anti-alias filter. The transfer function of the anti-alias filter Fl( f ) must not have any zeros within its passband; otherwise it will not be possible to find its reciprocal. It is common practice to design the anti-alias filter in such a way that ripple and other imperfections (like non-constant group delay) are minimized across the passband, so zeros in its frequency response within its passband are not likely to occur. The linear combination of the transfer functions of the anti-alias filter Fl( f ) across its passband and the correcting filter or equalizer H( f ) equals unity 1 Fl ( f ) H ( f ) = 1 0º ( ) E f [ 1 0º E( f )] = 1 0º so that the adaptation algorithm will not be affected by the anti-alias filter imperfections, and may then converge to an optimal solution OTHER SYSTEM IMPERFECTIONS The adaptation algorithms usually employed in these kinds of feedback systems are typically time decoupled. This means that the adaptation algorithm can sample the output of the quadrature demodulator for fixed amounts of time, and then process the digital data at a later time. The time between captures will

76 61 vary between different systems, but in all cases it is several orders of magnitude larger than the sampling rate. In order to avoid time delays between the I and Q channels, their respective samplings must commence at exactly the same time. Any delay will be translated into a phase shift, which will be a function of the frequency of the signal being captured. A time shift of the samples in the opposite sense can correct this problem SUMMARY The linear distortions of the adaptive predistorter feedback path were identified. Each one of them was analyzed in detail, and methods for correction were developed. Anti-alias filters, quadrature demodulator, and sampling time synchronization errors were included in the analysis.

77 3.4. FORWARD PATH EQUALIZATION 62 In Chapter 3, Section 3, the equalization of the feedback path of the digital predistortion linearizer was analyzed. In a similar manner, this Section will deal with the linear distortions in the forward path, that is, the signal flow from the predistorter to the non-linear device. Figure shows a block diagram, which highlights the forward path section in the digital predistorter. I Q PREDISTORTER DAC DAC RECONSTR. FILTER RECONSTR. FILTER QUADRATURE MODULATOR NON-LINEAR DEVICE (PA) LOAD FORWARD SIGNAL PATH PREDISTORTION CONTROL LOCAL OSCILLATOR DAC: Digital to Analog Converter Figure Forward signal path in the digital predistortion linearizer LINEAR DISTORTIONS IN THE FORWARD PATH As stated in the Chapter 3, Section 3.1, linear distortions are those system imperfections that are not a function of the input power or voltage. That is, no matter what the input signal level is, they will always be present. They can be a function of frequency, temperature or time. Even in the event that predistortion does not take place (plain signal generation), it is important to reduce the linear distortions in order to obtain the purest possible signal. Otherwise, parameters like the bit error rate can be affected (Ren and Wolf, Ref. 12 and 25, Runton et al, Ref. 23, Faulkner and Mattsson, Ref. 24). Below is a summary of the various causes of linear distortion that affect the forward signal path.

78 A Local oscillator leakage 63 Local oscillator leakage through the quadrature modulator (QM) can appear as unwanted carrier at the output of the QM. In addition, the digital to analog converters (DAC s) may also have a dc offset error that can produce the same effect. B Fixed time delays and phase shifts If a fixed time delay exists between the in-phase and the quadrature channel, the generated signal will be distorted. Major sources for this problem are the DAC s and the QM. C Gain vs. frequency response The gain of the system must be the same across the entire operating bandwidth to avoid the introduction of memory effects. The reconstruction filters are major contributors to this distortion. Each channel (I and Q) must be individually equalized for flatness. D Phase vs. frequency response The phase of the I and Q channels should ideally be equal, and a linear function of frequency. Again, the reconstruction filters contribute to most of the phase distortion. Each channel must be individually equalized to zero phase at dc to avoid the addition of fixed delays. E Gain imbalance Once each channel is individually equalized for flatness as described above in part C, the gain of both channels must be made the same in order to avoid improper QM operation. As in the case of the feedback path equalization, non-linear distortions will not be considered in the analysis. In this particular case, the system does not necessarily operate at a high back off, and any possible signal level must be allowed. Non-linear distortions can be corrected by the predistorter, because during the characterization of the non-linearities the forward path can considered part of the non-linear device. More details on this subject will be included in Chapter 3, Section 5 Non-linearities characterization.

79 ANALYSIS AND CORRECTION OF QUADRATURE MODULATOR IMPERFECTIONS The QM is used to up-convert the baseband (lowpass) complex signal to a bandpass radio frequency (RF) spectrum of interest. It is, in reality, a complex multiplier in which two complex signals (baseband and local oscillator) are multiplied to obtain a real signal (RF). An ideal QM must have constant gain and linear phase across the operating frequencies, and be perfectly balanced in order to null out any local oscillator leakage. A block diagram of a QM is shown in Figure from I reconstr. filter I = V cos( ω t) i bb I m LO L cos( t) i ω l L sin( t) q ω l V o to the nonlinear device from Q reconstr. filter Q = V sin( ω t) q bb Q m Figure Quadrature modulator The input signals I and Q to the QM can be written as follows I = V cos( ω t) i Q = V sin( ω t) q bb bb

80 65 where ω bb is the baseband angular frequency, and V i and V q are the I and Q amplitudes, respectively. The outputs I m and Q m of each multiplier will be I Q m m Vi Li = 2 VqL = 2 q [ cos( ω ω ) t cos( ω ω ) t] l [ cos( ω ω ) t cos( ω ω ) t] l bb bb l l bb bb (3-4.1) The output V o of the QM can be written as the sum of I m and Q m as follows V = I Q o m m V V V L V L V L ili q q i i q q = cos( ω l ωbb ) t cos( ωl ωbb t (3-4.2) o ) If V i = Vq and L i = Lq, then V = V L cos( ω ω t (3-4.3) o i i l bb ) Local Oscillator Leakage If there is leakage of local oscillator (LO) signal through any of the multipliers, it will appear at the output port added tov o. For the case of leakage through the I multiplier, I m is I m Vi Li = [ cos( ω l ωbb) t cos( ωl ωbb) t] Vl cos( ωlt) (3-4.4) 2 where V l is the amplitude of the leakage signal.

81 66 One way to correct this problem is to generate a signal of the same amplitude but opposite phase at the output I m in order to obtain the cancellation of the LO leakage term. This can be accomplished by injecting a dc level to either the I or Q inputs, depending in which multiplier leakage takes place. For the case of the I multiplier, a dc level Idcm equal to (V l / L i ) can be added to the I input signal, effecting the cancellation of the second term of equation 3-4.4, and thus eliminating the I multiplier LO leakage. I m Vi Li = 2 [ cos( ω ω ) t cos( ω ω ) t] I m l bb Vi Li = 2 Vl I = Vi cos( ωbbt) L I m = I L cos( ω t) Vl Li Vl cos( ωlt) L [ cos( ω ω ) t cos( ω ω ) t] l l i bb bb l i l bb i cos( ω t) l A similar analysis can be performed for the leakage in the Q multiplier. Amplitude Errors As for the case of the feedback path covered in Chapter 3, Section 3.2, the I and Q channels should not only have a flat frequency response, but also the gain should be the same for both. The QM could be a major contributor to this difference in gain. If it is assumed that the mixers themselves do not contribute to the unequal gain, then by examining equations it can be seen that this problem will result if the amplitudes of L i and L q are different. This can be compensated before the QM by varying the individual gains of the I or Q channels accordingly. This is particularly important because if the condition L = L is not met, then the term with angular frequency i q ω l ω bb in equation will not be cancelled out completely, resulting in undesired signals appearing at the output of the QM.

82 67 If the ratio between L l and L q is M lq Ll M lq = L q then the Q input of the QD can be multiplied by M lq in order to perform the gain compensation. Phase errors The analysis of the phase errors in the QM is very similar to the study of phase errors in the quadrature demodulator described in Chapter 3, Section 3.2. If the local oscillator signal is not in perfect quadrature (cosine and sine as shown in Figure 3.4.2), then the second term of equation will not be completely cancelled out. Assuming that the amplitude of both local oscillator signals is the same and that the two input signals have same amplitude and perfect quadrature, Q m can be written as Q m = V q sin( ω t) L bb q cos( ω t θ ) l Q m VqL = 2 q {sin[( ω ω ) t θ ] sin[( ω ω ) t θ ]} l bb l bb where θ is the phase between the LO signal applied to the Q mixer relative to the LO signal applied to the I mixer. For no phase error, θ must be exactly π/2. The output signal of the QM V o will be V o Vi Li = 2 V = I V L q q [ cos( ω ω ) t cos( ω ω ) t] {sin[( ω ω ) t θ ] sin[( ω ω ) t θ ]} l bb l bb o m 2 Q m l bb l bb

83 68 If V i = Vq and L i = Lq, then Vi Li Vo = [ cos( ω l ωbb) t cos( ωl ωbb) t] { sin[( ωl ωbb) t θ ] sin[( ωl ωbb) t θ ]} (3-4.5) 2 If θ were exactly π/2, then the terms with angular frequency (ω l ω bb ) in equation cancel out. Following the same reasoning than in Chapter 3, Section 3.2, a portion of the I channel can be added to the Q channel in order to correct for the phase error. The I channel must also be scaled accordingly to preserve its original amplitude. If the phase error (phase between the actual Q channel LO and ideal quadrature Q channel LO) is written as π ϕ = θ 2 then the magnitude of the correcting signal Q c can be calculated using the following equation: Q c = I sinϕ The I channel amplitude after the correction ( I ' ) can be found as follows I ' = I cosϕ Figure in the previous section shows a phasor diagram of the signals involved in the phase correction, while Figure depicts a block diagram containing all the QM corrections.

84 69 cosϕ Idcm I I ' Q Q c Q sin ϕ Li cos( ω lt ) Lq cos( ω lt θ ) V o M lq Qdcm Figure Quadrature modulator corrections RECONSTRUCTION FILTERS IMPERFECTIONS In the same manner the anti-alias filters caused problems in the feedback path (discussed in Chapter 3, Section 3.3), the reconstruction filters also produce degradation in performance. This is particularly true because all reconstruction filters are implemented with real analog components, and only an approximation to the ideal performance can be achieved. In addition, some filters have ripple in their passband in order to obtain a steeper high frequency roll-off, which also limits the performance. The reconstruction filters are placed immediately after the Digital to Analog Converters (DAC s), and thus must be analog. Their purpose is to eliminate frequencies above the Nyquist frequency to avoid undesired aliasing signals from appearing at the output of the system. They are sometimes called smoothing filters, because they smooth out the rectangular waveveforms generated by the DAC s. The cut-off frequency of these filters must be the same as the Nyquist frequency, unless some degree of upsampling is used within

85 70 the DAC s. However, an absolutely sharp roll-off is usually unattainable, so some compromise is often found; this results in a small loss of useful spectrum at frequencies just below the Nyquist frequency. The transfer function of a typical reconstruction filter across its passband can be written as Fl ( f ) = 1 0º E( f ) where Fl( f ) is the transfer function of the filter, and E ( f ) is the ripple or error of the filter respect to the ideal transfer function of 1 0º across the passband. In order to compensate for the ripple and phase distortion introduced by the reconstruction filter, another filter with the reciprocal transfer function can be implemented digitally before the DAC s. The transfer function of such filter can be written as 1 1 H ( f ) = = Fl( f ) 1 0º E( f ) The resulting system gain will be unity 1 Fl ( f ) H ( f ) = 1 0º ( ) E f [ 1 0º E( f )] = 1 0º It is important to emphasize that the transfer function of the reconstruction filter Fl( f ) must not have any zeros on its passband; otherwise it will be impossible to find its reciprocal. It is common practice to design the reconstruction filter in such a way that ripple and other imperfections (like non-constant group delay) are minimized across the passband, so zeros in its frequency response are not likely to occur.

86 SUMMARY 71 The linear distortions of the forward signal path were identified. Each one of them was analyzed in detail, and methods for correction were developed. Memory effects can develop due to these imperfections, degrading the performance of the predistorter. Main sources of imperfections are the analog reconstruction filters and the quadrature modulator. The analog filters can introduce ripple, which can be corrected with a digital filter. The quadrature modulator can be corrected in a very similar manner to the correction of the quadrature demodulator covered in Chapter 3, Section 3.2.

87 3.5. NON-LINEARITIES CHARACTERIZATION 72 The gain of the predistorter can only be calculated after the gain of the non-linear device (usually an RF power amplifier) is known. This section describes a simple method to measure the complex gain of an RF power amplifier as a function of its input power at a given frequency (f[y(t)] in Figure ). The variations of that gain as a function of frequency created by the linear subsystems of Figure will be considered in Chapter 3, Section METHODS FOR MEASURING THE COMPLEX GAIN OF A NON-LINEAR DEVICE AS A FUNCTION OF ITS INPUT POWER There are three basic methods to measure the complex gain of a device as a function of its input power at a given frequency, as described below: A - Vector Network Analyzer Power Sweep This type of test equipment is capable of measuring the gain and the phase of the device. The measurement can be carried out only at one frequency at a time, and the test signal is a single tone. This method can be used to characterize the power amplifier, but it becomes unsuitable if the digital predistorter plus power amplifier combination needs to be characterized as well (the predistorter is a digital device and as such it cannot be connected to the analog RF output of the network analyzer). B Spectrum Analyzer and Multi-Tone Signals A spectrum analyzer and an unmodulated signal generator can only be used to measure the magnitude of the gain. If a multitone signal is used to obtain carrier to intermodulation information at different output power levels, and a single tone is used to measure the magnitude of the gain, the phase can be derived analytically [Clark, Ref. 4, Guida, Ref. 32]. This method is quite sensitive to the accuracy of the measurements.

88 C Time Domain Base-band 73 This method employs a comparison of the input and output waveforms in order to determine the gain. If the output of the power amplifier is down-converted to baseband by using the same local oscillator used for the up-conversion, it is possible to obtain magnitude and phase information using a quadrature demodulator. A variety of signals can be used for this type of measurement, providing additional flexibility. Though a Vector Signal Analyzer is the ideal test equipment used for this type of measurement, an equivalent instrument can be implemented by using a quadrature demodulator and two data acquisition devices (analog to digital converters followed by capture memory). Table summarizes the three methods for complex gain measurement. Time domain baseband was chosen for its simplicity, accuracy, and independence from expensive and bulky test equipment. Table Methods for device complex gain measurement as a function of input power Advantages are highlighted in blue / bold Vector Network Analyzer Power Sweep Spectrum Analyzer and Multitone Signals Time Domain Baseband Need for expensive and bulky test equipment Yes Yes No Absolute accuracy Good Bad Good Accuracy relative to envelope frequency Bad Good Good Measurement speed Fast Slow Fast Large amount of calculation involved No Yes No Characterization of digital linearizer and non-linear device at the same time No Yes Yes Possibility of integration with a linearizer Performance in measuring memory effects No No Yes Poor Poor Good Implementation cost High High Low Measurement during normal device operation without interrupting service Repeated measurements for linearizer automatic adaptation No No Yes No Yes Yes

89 MEASUREMENT OF THE NON-LINEAR DEVICE COMPLEX GAIN AS A FUNCTION OF POWER IN THE TIME DOMAIN 74 The measurement of the complex gain of a non-linear device as a function of its input power in the time domain basically consists in the sample-by-sample comparison of its input and output waveforms. The input and output powers of a non-linear device can be related by the following equation P ( t) = P ( t) F [ P ( t)] o i p i where t is the time, P o is the non-linear device output power, F p is the complex power gain as a function of input power (normalized to be unity at saturation), and P i is the input power. The gain F p can be determined as the ratio between the output power and the input power at a given time. For implementation simplicity, it is convenient to use voltages instead of power, for which the above equation can be re-written as V ( t) = V ( t) F( V ( t) ) o i i 2 where V o is the output voltage as a function of time t, V i is the input voltage, and F is the complex voltage gain of the power amplifier (normalized to be unity at saturation). The gain F is thus 2 Vo ( t) F( Vi ( t) ) = (3-5.1) V ( t) i A simplified block diagram of the measurement setup is shown in figure

90 75 V i (t ) SIGNAL SYNTHESIZER I Q QUADRATURE MODULATOR NON-LINEAR DEVICE (PA) DIRECTIONAL COUPLER LOAD F F GAIN CALCULATION LOCAL OSCILLATOR V o (t ) Q I QUADRATURE DEMODULATOR Figure Complex gain measurement setup block diagram Because there will exist a time delay between V o (t ) and V i (t ), it will not be possible to correctly solve equation unless this time delay is found and taken into account by delaying V i (t ) accordingly. Because the generated waveform is known, the correlation between V i (t ) and V o (t ) could be performed in order to determine the time delay. Another simpler method consists of searching for zero crossing points of the signal, and measuring the time interval between them. In this case, the signal must be periodic; otherwise it may be very difficult, if not impossible, to find the correct zero crossing point for the calculation. If the time delay of V o (t ) respect to V i (t ) is found to be τ, then equation can be re-written as 2 Vo ( t) F( Vi ( t) ) = (3-5.2) V ( t τ ) i For practical purposes during the measurement, it might be convenient to determine the small signal gain of the system so V o (t ) could be scaled accordingly. Then F will only reflect the gain variations as a function of

91 76 input power. If the small signal gain of the system is measured to be α, then equation can be rewritten as 2 Vo ( t) F( Vi ( t) ) = (3-5.3) α V ( t τ ) i 2 This value of F( Vi ( t) ) is the normalized gain of the system TEST WAVEFORM CHARACTERISTICS FOR DYNAMIC NON-LINEAR COMPLEX GAIN MEASUREMENTS The type of waveform used for the measurement is of special importance. The following list summarizes the characteristics of such a signal: A The envelope must not be a constant Constant envelope signals cannot be used for non-linear gain measurements because their power is constant and the gain would be measured only at that particular power level. This type of test is used for static gain measurements. B Its peak voltage bounds the maximum measurable level The non-linear gain of the device cannot be measured at one particular output power level unless the test signal drives the device up to that same power level. For most applications, the non-linear device is driven exactly up to saturation. C Multiple zero-crossings As said before, either correlation or zero crossing detection can be used to synchronize V i and V o. The presence of easy to identify zero crossings is essential in order to obtain good results.

92 D Periodicity 77 Multiple measurements made with the same waveform can be averaged in order to minimize the influence of noise in the accuracy of the measurement. A periodic waveform greatly simplifies this process. E Controllable bandwidth The bandwidth of the test signal determines the frequency range across which the gain is measured. A signal whose bandwidth can be varied permits the measurement in frequency bands. In order to perform the measurement across those bands, the center frequency has to be varied accordingly as well. This concept is important if memory effects are to be taken into account. (This will be treated in detail in Chapter 3, Section 6 Correction of memory effects.) F Imaginary part can be zero The test signal does not have to be complex, though the gain being measured can be complex. When a real signal passes through a non-linear device that has a complex gain with non-zero imaginary part, the result of the complex multiplication will also have non-zero imaginary part. Sinc pulses ((sin x)/x) have been used in the past for this type of measurements with good results [Boumaiza, Ref. 83]. However, two-tone signals can also be used with success. Most of the experimental work done for this thesis has been carried out with two-tone signals. It has been found that better results can be obtained, particularly with regards to zero-crossing detection, noise, and bandwidth. The main drawbacks of the sinc pulse are its high peak-to-average power ratio (increased noise), and the need for the time truncation of the waveform at some point to make it periodic. Time truncation introduces distortion LOCAL OSCILLATOR RELATIVE PHASE BETWEEN UP AND DOWN CONVERSION In the previous analysis, it was assumed that the phase of the local oscillator signal was exactly the same at the quadrature modulator and demodulator. This may not be the case in a real implementation.

93 78 Figure shows a quadrature modulator and a quadrature demodulator sharing the same local oscillator. A time delay (phase shift) between the quadrature modulator LO and quadrature demodulator LO signals was introduced. If a complex sinusoidal baseband signal is applied to the quadrature modulator inputs I and Q, its output V o will be [ cos( ω ω t] Vo = Vi Li l bb) (3-5.1) where ω bb is the baseband angular frequency of the signal, ω l is the local oscillator angular frequency, V i is the amplitude of the input signal, L i is the amplitude of the local oscillator, and t equals time (refer to Eq in Chapter 3, Section 4.2 for details). I Q QUADRATURE MODULATOR V o TIME DELAY LO I Q QUADRATURE DEMODULATOR Figure Quadrature modulator and demodulator sharing a common local oscillator For simplicity, the amplitude of all signals will be assumed to be unity. If the signal described by equation is applied to the quadrature demodulator input, its I (real) output will be

94 79 I' = cos( ω ω ) t cos( ω t β ) l bb l where β is the phase of the demodulator local oscillator respect to the modulator local oscillator due to the introduction of the time delay. Using trigonometric identities, the above equation can be re-written as [( ω ) t β ] cos[ (2ω ω β ] I' = cos t bb l bb) The 2 ω ω term of the above equation can be ignored (very high frequency and out of band). Then I l bb turns out to be [( ω β ] I' = cos bb ) t I' = cos( ω t) cos β sin( ω t) sin β (3-5.2) bb bb If the same procedure is followed with the Q (imaginary) output Q' = cos( ω ω ) t sin( ω t β ) Q' = sin [ ω t β ] sin[ (2ω ω ) t β ] bb l bb Q' = sin( ω t β ) bb l l bb Q' = sin( ω t) cos β cos( ω t) sin β (3-5.3) bb bb It can be demonstrated in a similar way that even if the two local oscillators are exactly in phase at the modulator and the demodulator, the same effect will occur if the signal path between the modulator and the demodulator is not an exact integer number of half-wavelengths at the local oscillator frequency. The practical solution for the local oscillator phase error between the modulator and the demodulator is the addition of a variable-length transmission line that can be adjusted to make the phase error equal zero. This solution is effective because the local oscillator frequency and the phase shift introduced by the

95 80 transmission line do not change after the initial adjustment. However, this approach cannot be applied for all cases of signal path length issues described before because the insertion phase of the variable-length transmission line can only compensate the frequency for which it was adjusted, whereas the signal covers a wide frequency range. The variable-length transmission line must thus be adjusted for each frequency to be measured for accurate results. There is an alternative solution to this problem. If tan(β)i is added to Q and tan(β)q is added to I, the phase errors can be eliminated: sin β sin β I' ' = cos( ωbbt) cos β sin( ωbbt)sin β sin( ωbbt) cos β cos( ωbbt)sin β cos β cos β 2 sin β sin β cos β I' ' = cos( ωbbt) cos β sin( ωbbt) sin β cos β cos β 2 2 cos β sin β I' ' = cos( ωbb ) cos β t cos 2β I' ' = cos( ωbbt) cos β cos β In order to restore the original unity amplitude, I must be multiplied by. cos 2β The quadrature channel Q can be corrected in a similar way. Figure shows a block diagram of the phase and amplitude corrections for both I and Q. This correction must be applied at the output of the quadrature demodulator.

96 81 cosβ cos2β I I -tan β -tan β Q Q cosβ cos2β Figure Local oscillator relative phase correction block diagram SUMMARY Three methods to measure the nonlinearities of a device, in particular RF power amplifiers (PA), were described. Out of those methods, only the time domain baseband signal analysis provides good performance for the measurement of dynamic complex gain. This later method basically consists of a comparison of the input and output baseband signals of the PA. Several signals can be used for this measurement, but simple two-tone waveforms are the most suitable. In order to properly recover the baseband signal after the quadrature demodulator, a phase correction of the local oscillator and/or signal path length must be performed. This correction assures that the demodulated baseband signal does not suffer any phase rotation that may lead to inaccurate measurements.

97 3.6. CORRECTION OF MEMORY EFFECTS 82 In the previous sections of Chapter 3, most of the analyses assumed that all non-linear devices were memoryless. Real devices (i.e. power amplifiers) do have memory that affects the performance of a memoryless predistorter [Franco, Ref. 69]. This section deals with some of the most common memory effects and methods to correct them to enhance the performance of the digital predistortion linearizer MEMORY EFFECTS IN RADIO FREQUENCY POWER AMPLIFIERS The following discussion applies to Radio Frequency (RF) power amplifiers; however, most of the concepts can be applied to other non-linear devices. All real RF power amplifiers have memory. The effects caused by that memory are usually called memory effects. For the purpose of this study, memory effects in power amplifiers can be classified in the following categories: A Frequency dependent memory effects The non-linear behavior of a power amplifier may change across a wide frequency range. For bandwidths of around 100 MHz, those changes are mostly due to ripple in the frequency response in a power amplifier system composed of several cascaded amplifiers and the predistorter. The ripple is caused by the presence of frequency sensitive components. B Bias modulation memory effects If the impedance of the decoupling networks in a power amplifier is high at the envelope frequency of the signal, undesired signals of the same envelope frequency will appear added to the dc supply voltage. These ac signals will cause AM and PM modulation of the RF signal, generating unwanted sidebands with frequencies that fall exactly where the intermodulation distortion products occur.

98 C Envelope dependent memory effects 83 The envelope frequency of the signal can also have an effect on the distortion characteristics of the nonlinear device. This can be due to electrical or thermal memory effects. Typically, thermal memory effects are only of concern for envelope frequencies below 1 MHz because the mass of the semiconductor in the active device cannot change its temperature fast enough in order to keep up with high envelope frequencies. On the other hand, electrical memory effects can occur at any envelope frequency. D Long term thermal memory effects If a power amplifier is cold with no signal or just a weak signal applied to it, but suddenly a strong signal drives it to a high output power, the temperature of the amplifier will rise in a few seconds. This selfheating effect can produce changes in its non-linear characteristics. This is particularly important in pointto-multipoint digital data radios, where the remote units send short bursts of RF signal every few seconds. Room temperature changes can also produce a similar effect FREQUENCY DEPENDENT MEMORY EFFECTS Measurements have confirmed that the non-linear characteristics of an RF power amplifier usually remain constant across a narrow frequency range, but when the power amplifier is driven by another smaller amplifier, which has a frequency response that is not flat across that range, then memory effects will appear [Franco, Ref. 69]. This is not easy to observe while performing measurements of the gain of the power amplifier with a vector network analyzer. Figure shows an example of nonlinear gain measurements in a TWT amplifier driven by a solid state amplifier at two single carrier frequencies (1.5 and 1.6 GHz). If this figure is examined carefully, it can be seen that the curves have essentially the same shape. What is actually happening is that the gain of the driver stage varies as a function of frequency, and for a given input signal

99 84 level, the power amplifier is driven to different output power levels, which correspond to different points in the same transfer response. Figure Power amplifier gain as a function of input power at two different carrier frequencies. Input power is the same in both cases Figure Same power amplifier of Figure 3.6.1, but driven to saturation at both frequencies.

100 85 If the amplifier is driven to saturation at both test frequencies and the magnitude and phase of the gain are normalized at the lowest input power level, the curves are essentially the same for both frequencies, as seen in Figure The gain G of a predistorter can be represented by the following equations, G( V 2 o ( t) ) = 1 F( V ( t) i 2 ) Vo ( t) = Vi ( t) F( V ( t) i 2 ) where V i (t) is the input signal to the power amplifier, V o (t) its output signal, and F ( V i (t ) 2 ) is the gain of the power amplifier. In practice, the gain of the power amplifier is given by a table of input-output data points. The ideal predistorter for the power amplifier (PA) is obtained as follows: If the following equations represent one PA gain data point A = V (t) of the PA i B = V (t) of the PA o C = Phase[ V ( t)] of the PA and B F( A) = C = Gain of the PA A i then the predistorter gain G at an input voltage B is given by

101 86 A G( B) = C B This leads to the relationship G ( B) F( A) = 1 Note that, in practice, the values of A=V i (t) are usually uniformly spaced in db. Since the gain of the PA is not linear, the corresponding predistorter input points B= V o (t) will not be uniformly spaced. Interpolation will be required in order to obtain uniformly spaced predistorter input points. In a system composed of a cascaded predistorter and a power amplifier, the total gain is unity (normalized to saturation), 1 2 G F = F( V ( ) ) = 1 2 i t F( V ( t) ) i The variation of gain in the amplifier as a function of frequency f (a linear distortion), can be represented as an error component Er different from 1. The above equation can be re-written as 1 2 G F = F( V ( ) ) ( ) 1 2 i t Er f F( V ( t) ) i The error component can be cancelled out by inserting an equalizer with a frequency response that is the reciprocal of Er (f ), between the predistorter and the power amplifier, G F = F( V ( ) ) ( ) = 1 2 i t Er f F( V ( t) ) Er( f ) i (3-6.1)

102 This concept is represented graphically in Figure EQUALIZER AMPLIFIER 0 db 0 db = 0 db freq freq freq freq Figure Effect of the insertion of an equalizer before the power amplifier EQUALIZER LINEARIZER EQUALIZER AMPLIFIER 0 db 0 db 0 db 0 db = 0 db freq freq freq freq freq Figure Dual equalizer memory effects compensation with no changes to the amplifier frequency response

103 88 It is possible that the error component Er(f ) may not be an error, but a particular frequency response introduced on purpose by a filter installed before the non-linear device. In order to preserve the original frequency response, but at the same time achieve an effective predistorter performance, the error component can be re-introduced by another equalizer with a frequency response equal to the reciprocal of the first equalizer, inserted before the predistorter, as shown in Figure ENVELOPE DEPENDENT MEMORY EFFECTS The output of a nonlinear device in the first zone can be described by the following polynomial approximation, ) ( ) ( v v v b v a v P a R ja I a = I b R jb b = where P(v) is the output voltage, a and b are complex coefficients, and v is the input voltage. If a complex baseband signal V s 1 = = Z Z e e V t j t j s ω ω is applied to the above mentioned nonlinear device, its output V o will be = = ) )(2 ( ) ( Z Z Z Z b Z Z a V o = = ) 2 (2 ) ( Z Z Z Z Z Z b Z Z a = = ) ( 3 ) ( ) ( Z Z b Z Z b Z Z a ) ( ) )( 3 ( = Z Z b Z Z b a V o

104 89 No matter what value the complex coefficients a and b may take, the distortion products on each side of the signal are symmetrical. However, measurements performed in real power amplifiers [Franco, Ref. 69], revealed that sometimes there is a significant asymmetry in those distortion products on either side of the signal. This is shown in Figure One possible cause for this to happen is that the AM/AM and AM/PM conversions do not take place at exactly the same time in the power amplifier. The mechanisms by which the gain is compressed and the phase is shifted as a function of signal level may not be due to the same physical phenomena, consequently it is perfectly possible to have such a delay. Figure Asymmetric intermodulation distortion products in a solid state power amplifier due to memory effects If the gain of the quadrature component is controlled by a delayed version of the envelope of V s, then V o can be written as V o θ 2 [( Z Z )(2 Z Z )] jb [( Z Z )(2 Z )] 1 θ R I = a( Z Z ) b Z

105 where θ is the phase shift that represents the time delay. Finally, V o turns out to be 90 V o V o θ 1 θ 1 1 θ 3 θ [ 3( Z Z )( Z Z )] jb [ 2Z Z Z 2Z Z ]= = a( Z Z ) b Z = ( a 3b R )( Z Z R I θ 1 θ θ 3 θ ) j2bi ( Z Z ) jbi ( Z Z ) br ( Z Z ) jbi ( Z Z π π 1 θ 1 θ θ 3 θ I I R I = ( a 3b )( Z Z ) j2b ( Z Z ) b ( Z 2 Z 2 ) b ( Z Z ) jb ( Z Z R ) = ) The first three terms of the above equation correspond to the fundamental tones, and the last two terms correspond to the third order intermodulation distortion products (IMD). In this case, where the gain of the quadrature component is controlled by a delayed version of the magnitude of the input signal, asymmetry is observed in both the fundamental tones and the third IMD products. Figure shows a vector diagram of the upper and lower tones and IMD products, where the asymmetry is evident graphically. θ θ LOWER FUNDAMENTAL TONE b i 2 b i FUNDAMENTAL TONES UPPER FUNDAMENTAL TONE b i 2 b i a3 b r a3 b r LOWER UPPER LOWER IM3 b i θ 3 rd IMD UPPER IM3 θ b i b r LOWER b r UPPER Figure Vector diagram of the upper and lower fundamental and 3 rd IMD products with memory effects

106 91 The delay between the AM/AM and AM/PM conversions represented by θ is a function of the envelope frequency. This implies that the asymmetry will also change as a function of the envelope frequency. One method of correction of the above mentioned asymmetries consists of introducing in the predistorter a compensating delay between the AM/AM and the AM/PM that cancels out the delay occurring in the nonlinear device BIAS MODULATION MEMORY EFFECTS In all power amplifiers, the power source is a dc supply. This dc voltage must be applied to the output (usually collector or drain) and the input (base or gate) of the active solid state device through a biasing circuit, without affecting the RF performance. Ideally, the biasing circuit should present infinite impedance at the operating frequency and zero impedance at all other frequencies. If this is not the case, undesired ac voltages will be added to the dc supply voltage, producing amplitude and phase modulation of the RF output signal. Unfortunately, the sidebands due to the bias modulation fall exactly where the intermodulation distortion (IMD) products occur, producing their reinforcement or attenuation. The bias modulation effect can be analyzed assuming that the output of the power amplifier is simultaneously modulated in amplitude and phase by a sinewave (two-tone envelope). In this case, the output V o of the power amplifier can be written as 1 A Vo ( t) = cosω mt cos θ 2 2 c mt [ ω t ϕ cos( ω )] where A is the amplitude modulation index, ω m is the modulating signal angular frequency, ω c is the carrier angular frequency, t is the time, φ is the maximum value of phase modulation (<π/2), and θ is the relative phase between the AM and the PM.

107 92 The amplitude modulation can be analyzed independently of the PM because the phase modulation is a constant envelope process. The AM can then be described by the following equations: V V AM 1 A ( t) = cosωmt cos( ωct) A = cos( ω ct) [ cos( ωct) cos( ωmt) ]= A ( t) = cos( ω ct) c m c ωm 2 4 [ cos( ω ω ) t cos( ω t] AM ) The last term of the above equation correspond to the modulation sidebands, which are symmetrical. The PM process can be analyzed as follows, V PM V PM ( t) = cos[ ω t ϕ cos( ω t θ )] = c m [ ϕ cos( ω t θ )] sin( ω t)sin[ ϕ cos( ω )] ( t) = cos( ω t) cos t θ c m c m Using Bessel functions of the first kind and order 0 and 1, the above equation can be analyzed for the carrier and the modulation sidebands as follows, cos( a cosb) = J0( a) sin( a cosb) = 2J ( a) cosb 1 V t) = cos( ω t) J ( ϕ) sin( ω t)2j ( ϕ) cos cos( ω t ) = PM ( c 0 c 1 m θ = J 0( ϕ )cos( ω t) J1( ϕ)sin(( ω ω ) t θ ) J1( ϕ)sin(( ω ω ) t θ ) c c m c m If π θ = ± 2 VPM [ θ = ± π / 2] ( t) = J0( ϕ) cos( ωct) m J1( ϕ)sin( ωc ωm) t ± J1( ϕ)sin( ωc ωm) t

108 93 If θ =0 ; π VPM [ θ = 0; π ]( t) = J0( ϕ) cos( ωct) m J1( ϕ)sin( ωc ωm) t m J1( ϕ)sin( ωc ωm) t In both cases, the last two terms are the modulation sidebands. If the phase angle θ equals 0 or π, then the PM sidebands add up with the AM sidebands, resulting in a symmetrical spectrum on both sides of the carrier. If θ equals ±π, then the upper or lower sidebands will alternatively attenuate and reinforce, depending on the sign of θ. The amount of attenuation or reinforcement will depend on the AM and PM modulation indexes. Any other value of θ involves both processes, and for the analysis θ can be decomposed into its in-phase and quadrature components. The above concept can be directly applied to the case of bias modulation in a power amplifier. The circuit used to apply the dc voltage to the drain of a typical FET solid state power amplifier is shown in Figure It is customary to keep the value of resistance and inductance to a minimum, in order to minimize the voltage drop across those two elements. This is very hard to achieve in practice. Even if the resistor value could be decreased to zero, a real inductor with finite Q will have some series resistance associated with it, therefore the resistance cannot be totally eliminated. When the active device pulls current through the bias circuit of Figure 3.6.7, the voltage drop across the active device will be given by XL V ds = V ( R jxl) i( t) = V Z i( t) = V i( t) Z tan = V i( t) Z θ R

109 94 L R I POWER SUPPLY V POWER SUPPLY DECOUPLING g FET d s MATCHING NETWORK Vds LOAD Figure Drain bias circuit in a typical FET solid state power amplifier It is evident that the presence of the inductor causes the current and the voltage to be phase shifted by an angle θ that varies from almost zero (minimum inductance) to π/2 (pure inductance). The amplitude modulation will be determined by the output power (which is in phase with the current), and the phase modulation will be determined by the voltage (variation of active device output capacitance as a function of voltage). In conclusion, it can be seen that the presence of any reactive element in the bias circuit produces a phase shift between current and voltage in the device. This phase shift leads to another phase shift between the AM and PM components of the output. As a result, the modulation sidebands are not symmetrical on either side of the carrier. If a two-tone signal is applied to a nonlinear device with bias memory effects, it will be found that the sidebands due to the AM and PM processes fall exactly where the intermodulation products occur. Because a typical memoryless predistortion linearizer can only correct for symmetric sidebands, only partial cancellation of the distortion plus modulation sidebands can be achieved. In addition, if the predistorter gain is calculated as in Chapter 3, Section 1.3, then only those sidebands due to intermodulation distortion will be cancelled, and those produced by the bias modulation will not be reduced.

110 3.6.5 LONG TERM THERMAL MEMORY EFFECTS 95 Self-heating and variations in room temperature can affect the gain of the power amplifier. These phenomena are not short term effects like those caused by envelope frequencies below 1 MHz. They can occur when an amplifier is first turned on after some period of inactivity, or in a remote terminal of a pointto-multipoint unit that transmits sporadically. The changes in power amplifier gain are not dramatic and can usually be compensated by using an offset in the predistortion look up tables described in Chapter 3, Section 2.2. Three key parameters must be monitored to determine whether or not an offset should be applied: A - Power amplifier temperature B - Power amplifier current consumption C - Output power The output power can be either measured or approximately calculated during the predistortion process. The most accurate result, however, will be obtained from a measurement, because the actual gain of the power amplifier may not be accurately known. All of the above parameters can be sampled and used in conjunction with the measurements of gain described in Chapter 3, Section 5.2. A nominal gain must be determined for a convenient set of parameters, and several other measurements at different operating conditions must be performed later in order to determine the values of gain under those conditions. An offset X and Y table can be constructed for as many combinations of temperature, current and output power as are needed to achieve the desired predistorter performance.

111 3.6.6 SUMMARY 96 Memory effects can be described as a dependency of the gain of a nonlinear device on past events. The frequency and the envelope of the signal have an important effect on the gain, but the self-heating of the power amplifier as a function of output power and the room temperature can also be important. Some memory effects manifest themselves by producing asymmetric intermodulation distortion (IMD) products on either side of the signal. The asymmetry could be due to (1) a delay between the amplitude and phase modulation of the power amplifier bias voltages due to impedances greater than zero at the envelope frequency in the bias supply networks, or (2) the fact that the AM/AM and AM/PM conversions in the active device by itself may not occur in synchronism. A typical memoryless predistorter can only cancel symmetrical IMD products that are produced by purely nonlinear effects. Introducing a delay in the predistorter can provide the required asymmetry to successfully cancel asymmetric IMD products.

112 3.7. PREDISTORTER PERFORMANCE VERSUS SYSTEM PARAMETERS 97 It is very important to be able to predict the performance of a digital predistorter as a function of certain key system parameters. Most of these parameters are associated with cost and technological limitations. Certain designs (i.e., integrated circuits) do not even allow changes after manufacturing. In essence, each engineering revision adds time and additional expenses to the process, so they must be kept to a minimum. In this section, various key digital predistorter parameters will be analyzed as a function of predistorter performance, allowing for a more cost effective and practical implementation of the digital predistorter SYSTEM PARAMETERS DEFINITION A Carrier to intermodulation ratio (C/IM) It is the ratio, often expressed in db, between the maximum level of the original, undistorted signal, and the maximum level of intermodulation distortion. B Output and input Back-off (OBO and IBO) When the output of a power amplifier is reduced by decreasing its input power, the ratio between the saturated output power and the actual output power is called output back-off. If the ratio is considered between the input power that produces output saturation and the input power after the reduction of power, then it is called input back-off. Both back-offs are usually expressed in db. C Envelope peak to average ratio It is the ratio between the peak envelope voltage and the rms envelope voltage of the waveform, usually expressed in db. As an example, a single carrier signal has a peak to average ratio of 0 db, and a twocarrier signal has a peak to average ratio of 3 db.

113 D Intermodulation cancellation 98 It is the ratio between the intermodulation products (IMD) before and after the linearization process. It is usually expressed in db. E Correction bandwidth The IMD products extend beyond the original spectrum of an undistorted signal. Depending on the highest order of distortion product that needs to be cancelled, the bandwidth of the signal will increase proportionally, and it is called correction bandwidth. F Magnitude and phase errors It is the difference between the magnitude and phase of the transfer function of an ideal, perfect linearizer, with respect to a real, imperfect linearizer, in polar form. G Real and imaginary amplitude errors Same as the magnitude and phase errors, but in rectangular form. H Predistortion gain table number of points Number of entries stored in memory for the predistortion gain tables. Predistortion coefficients that fall between tables points must be found using interpolation. I Forward quantization Number of bits used to represent the forward signal path. Usually limited by the digital signal processor or the digital to analog converters. J- Feedback quantization Number of bits used to represent the feedback signal path. Usually limited by the digital signal processor or the analog to digital converters.

114 INTERMODULATION DISTORTION CANCELLATION AS A FUNCTION OF CORRECTING SIGNAL MAGNITUDE AND PHASE ERRORS Complete cancellation of an IMD product from a nonlinear device can only be achieved by the generation of another IMD product of the same amplitude and frequency, but opposite phase, by the predistorter. Because the predistorter IMD is derived from the original signal, the frequency is not an issue. However, the phase and amplitude accuracy can become a problem, particularly when memory effects and wide bandwidths are involved. If the cancellation is not complete, an error vector will result. This is shown in Figure Q ERROR VECTOR IMD TO BE CANCELLED PREDISTORTION SIGNAL I PHASE ERROR Figure Vector diagram of the IMD and predistortion signals The error vector can be calculated in terms of the amplitude error A e (in db), and the phase error φ (in degrees) of the signal generated by the real predistorter respect to the signal generated by an ideal predistorter. The amplitude of the error vector represents also the amount of cancellation C (in db) of IMD. Assuming unity magnitude IMD, C (error vector in Figure 3.7.1) can be written as

115 A e A e = 10log sinϕ cosϕ C (3.7.1) where A e is the amplitude error of the predistortion signal (in db), and φ is the phase error of the predistortion signal (in degrees). Figure depicts the maximum theoretical cancellation of the IMD products as a function of phase and amplitude errors in the predistortion signal, based on equation It is evident that small errors can cause drastic reductions in the amount of cancellation. Max. Theoretical Cancellation [db] Amplitude Errors [db].1 db.2 db.3 db.4 db.5 db 1 db 2 db Phase Error [deg] Figure Maximum theoretical cancellation vs. phase and amplitude errors

116 3.7.3 QUANTIZATION ERRORS AND ITS INFLUENCE IN THE LINEARIZATION PROCESS 101 During the signal digital-to-analog or analog-to-digital conversion process, a continuous amplitude waveform is mapped to a finite set of amplitudes that the Digital to Analog Converter (DAC) can output, or vice-versa in case of an Analog to Digital Converter (ADC). In most cases, the quantization levels are uniformly distributed. The number of quantization levels N for a quantizer with B number of bits is given by B N = 2 Unfortunately, there is an error every time a continuous signal sample is mapped to a quantized amplitude, except when the sample value coincides with one of the DAC/ADC quantized output/input values. This error generates noise that will affect the signal to noise ratio. It can be demonstrated [A. Oppenheim and R. Schafer, Ref. 39, Page 196] that the signal to noise ratio SNR in db due to the quantization noise is given by SNR X = 6.02B log m σ x where X m is the full scale level of the DAC/ADC, and σ x is the rms value of the signal. This is an important concept because it shows that for multi-carrier signals (much more than two carriers), where the peak to average ratio is high (small rms value), the quantization noise becomes higher than when two-carrier signals (higher rms value) are processed. Quantization noise can become a limiting factor in system design, even if there is no linearization taking place. Figure shows calculated signal to noise ratio plots for 2, 4, 6 and 12 equally spaced, random phase, equal amplitude, unmodulated carriers, as a function of the quantization level B. In some cases, the quantization noise becomes unacceptable even before any distortion occurs in the power amplifier.

117 102 In the particular case of predistortion linearization, the quantization error in the forward path (DAC) introduces a mismatch between the transfer characteristics of the predistorter and the non-linear device, degrading the predistorter performance; the same error occurs in the feedback path (ADC). Both errors set a limit to the cancellation of distortion Quantization Noise 2 carriers 4 carriers 8 carriers 12 carriers -50 SNR [db] Quantizer # of bits Figure Signal to noise ratio for signals with different rms value as a function of the quantization level

118 3.7.4 INFLUENCE OF THE PREDISTORTION TABLES SIZE IN THE PERFORMANCE OF THE DIGITAL PREDISTORTER 103 The digital predistorter performs the predistortion of the signal based on the information stored in one real and one imaginary predistortion gain coefficient table indexed by the magnitude (or magnitude squared) of the signal (for more details refer to Chapter 3, Section 2.2). These tables have a finite number of entries; only a certain number of signal magnitude values are stored, and consequently any value of signal magnitude that lies between two known values must be found using interpolation. The interpolation introduces an error in the predistortion coefficients, degrading the predistorter performance. It is obvious that the larger the number of table entries, the smaller the error and the better the predistorter performance will be, but in a practical implementation of a digital predistorter there are usually restrictions on the maximum number of points due to memory size constraints. An additional error may also appear if the calculation of the magnitude of the signal is not performed using floating point arithmetic, or if an approximation is used in order to increase the computation speed DIGITAL PREDISTORTER PERFORMANCE DEGRADATION DUE TO QUANTIZATION AND PREDISTORTER TABLES SIZE As described before in Sections 7.3 and 7.4 of this chapter, the performance of the digital predistorter will be affected by the quantization level and the predistortion tables size. In an actual implementation of a predistorter, all these effects will be combined, and will also be a function of the probability density function (pdf) of the signal being predistorted. To summarize, the following variables play a role simultaneously in the performance of the digital predistorter:

119 A - Forward path quantization 104 B - Feedback path quantization C - Predistortion table size D - Probability density function of the signal It is very difficult to analyze each one of the above individually; in many cases, different combinations of those variables produce the same result. Because it will be an advantage to analyze the digital predistorter for any type of commonly found modulation or channel-sharing method, it was decided to perform the analysis for signals with various peak to average ratios (in this case, 2, 6, and 12 closely spaced, equal amplitude, unmodulated carriers), and choose the worst case distortion of all of them for the study. In other words, the study shows a worst case scenario that can be certainly improved, but rarely degraded. Figure shows a simulation of the cancellation of IMD for a typical power amplifier by using a digital predistorter. The results may vary slightly if a different power amplifier is used. In the four plots presented, the absolute cancellation of IMD is plotted as a function of the forward and feedback quantization level and the number of points of the predistortion coefficient tables. The results are a worst case scenario for 2, 6 and 12 unmodulated carriers. The back-off level is such that the peak of the waveforms always reaches the power amplifier saturation level, but never goes beyond. As a consequence, the average power decreases proportionally to the peak to average ratio of the waveform.

120 bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points 25 points points 1000 points Forward Quantizer # of bits C/IM reduction [db] points 25 points points 1000 points Forward Quantizer # of bits bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points 25 points points 1000 points Forward Quantizer # of bits C/IM reduction [db] points 25 points points 1000 points Forward Quantizer # of bits Figure Worst case intermodulation distortion reduction in a typical linearized power amplifier as a function of predistortion table size, forward quantization, and feedback quantization DIGITAL PREDISTORTER PERFORMANCE DEGRADATION DUE TO MAGNITUDE AND PHASE ERRORS The results shown in Figure assume that the frequency response of the forward channel is absolutely flat. In reality, this is not usually possible to achieve. Even if equalization is used to correct for magnitude and phase errors, the correction may only be partial. For instance, if an external calibrated signal generator is used to characterize the system before the equalization takes place, the amplitude and phase errors of the generator will be embedded in the system.

121 bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits Figure Same plots as in Figure 3.7.4, but with a magnitude error of 0.1 db Figure shows the cancellation of IMD for the same conditions used in Figure 3.7.4, but this time a 0.1 db magnitude error has been introduced, and Figure shows the resultant effect of a 0.5 db magnitude error. The degradation in IMD cancellation is very important, up to the point that most of the other parameters like predistortion tables size or quantization level can be relaxed for similar performance. The degradation can be so severe that even the best predistorters can be limited in performance. Wide bandwidths and memory effects play an important role in the effectiveness of the equalization. Also, if the equalization is performed by means of an FIR filter, the number of taps of such filter will also introduce an error due to the truncation of the impulse response of the ideal filter.

122 bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits Figure Same plots as in Figure 3.7.4, but with a magnitude error of 0.5 db A similar analysis can be carrier out for errors in the I and Q channels individually. Figure shows the cancellation of IMD products for the same conditions used in Figure 3.7.4, but this time a 0.1 db amplitude error was introduced to the I and Q channels.

123 bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits bit Feedback Quantizer bit Feedback Quantizer C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits C/IM reduction [db] points points 50 points 1000 points Forward Quantizer # of bits Figure Same plots as in Figure 3.7.4, but with an amplitude error of 0.1 db in the I and Q channels SUMMARY The key system parameters that determine the performance of the digital predistorter have been defined and analyzed. Phase and amplitude accuracy is extremely important in predistortion design. Any mismatch in frequency and/or phase response between the linearizer and the power amplifier will severely affect the performance of the predistorter. Even other parameters like predistortion table size, feedback quantization level and forward quantization level can be found to be of much less importance if the amplitude of the I and Q channels is not maintained within half a db from perfect flatness.

124 109 Quantization on its own, even without predistortion, can also limit the signal to noise ratio. If uniform quantizers are used, the probability density function of the signal will also have an effect on the signal to noise ratio. This is particularly important in high peak-to-average ratio signals like those found in CDMA (Code Division Multiple Access) and multicarrier transmission. Using the information supplied in this section, a system designer can predict the performance of a digital predistorter as a function of its key variables. This is of great importance, particularly when re-designs involve long lead times and high costs.

125 CHAPTER 4. DIGITAL PREDISTORTER IMPLEMENTATION HARDWARE AND SYSTEM DESCRIPTION Chapter 4 describes a complete digital predistorter linearizer system implemented in hardware, and controlled by software written in Matlab and other auxiliary languages. The system described is capable of linearizing RF power amplifiers (or equivalent devices) for signals with a correction bandwidth of nearly 100 MHz. It has the potential to operate at much wider bandwidths, as soon as faster devices become available. The software by itself has no bandwidth limitation, as most of the operations don t need to be performed in real time REAL TIME VS. PRE-COMPUTED DIGITAL PREDISTORTION For the purpose of research, it is not necessary to implement a digital predistorter that has the capability of predistorting digital signals in real time. The signal can be generated and predistorted in the digital domain by a computer, and converted to an analog signal just before the up-conversion to the frequency of interest takes place. This method is sometimes referred to as pre-computation, because the predistortion is calculated in advance. The main advantage in doing this is that the predistorter is much simpler and easily modifiable, a key issue in any development platform. A later real-time implementation can be developed based on the software and algorithms used for the pre-computed version. In a pre-computed digital linearizer, the baseband, undistorted signal is generated in software, and stored in the form of discrete time samples. Usually, a long enough sequence is used and phase discontinuities are avoided between the last and fist sample in order to achieve a smooth spectrum and not to introduce undesired spurious signals, respectively. The digital predistorter later takes the undistorted signal time

126 111 samples and performs the predistortion of the signal. The digital signal is then applied to the Digital to Analog Converters (DAC) before it is upconverted to RF. In a real-time digital predistorter implementation, the signal is generated in the same manner as with the pre-computed predistorter, and the same sequence length and avoidance of phase discontinuities discussed above are taken into account. The signal is then applied to a stand-alone device that performs the predistortion in real time. For this, the magnitude of the signal must be determined, the predistortion coefficients calculated, and the complex multiplication between the signal and the coefficients is performed. A pipelined structure is generally employed for this, and the implementation is done with very high speed logic. One advantage of this approach versus the pre-computed linearizer is that external digital signals can be predistorted. This may be necessary for a commercial product in which those signals may be generated by devices that are not part of the predistortion system. The results of both pre-computed predistortion and real-time predistortion are identical because the signal is digitally processed in both using the same algorithms. In fact, real time signal processing in its strict sense never exists in reality, as a large amount of signal buffering must always take place in order to perform the necessary logic operations in the digital signal processor DIGITAL PREDISTORTER SYSTEM DESCRIPTION Figure shows a system level block diagram of a digital predistorter. The discrete time predistorted signal samples are calculated by the Personal Computer (PC), and loaded into the memory of the Digital Signal Synthesizer (DSS) via a USB (Universal Serial Bus) interface. The function of the DSS is to output the stored samples at a rate given by its internal clock. The output of the DSS consists of two digital signals that correspond to the real and imaginary parts of the signal. Each data stream is applied to one Digital to Analog Converter (DAC), which converts the digital signal into an analog signal. A Low Pass Filter (LPF) must follow each DAC in order to attenuate the aliasing signals produced by the digital to analog

127 112 conversion. The now analog baseband signal is upconverted to the radio frequency of interest by means of a quadrature modulator. The above description corresponds to the forward path of the signal in the system. The feedback path works similarly to the forward path. The output of the nonlinear device (in most cases, an RF power amplifier) is adequately attenuated down to the signal level required by the quadrature demodulator, which converts the signal back to baseband. The output of the demodulator is low pass filtered to avoid aliasing responses, and converted to digital by means of the Analog to Digital Converters (ADC). A capture and store device, clocked by the DSS clock source, accumulates several samples of the baseband signal in order to be analyzed later by the PC. The Local Oscillator (LO) is common for both the modulator and the demodulator in order to maintain the phase coherence throughout the system. However, a phase shifter Ф may be installed to compensate for any skew in the I/Q plane, as explained in Chapter 3, Section 5.4. FORWARD DAC LPF DSS DAC LPF QUAD. MOD. PA CLOCK LO ATTEN. USB INTERFACE Ф PC CAPTURE & STORE ADC LPF QUAD. DEMOD. ADC LPF FEEDBACK Figure Digital predistortion linearizer system block diagram

128 Figures and show pictures of the digital predistorter development unit. 113 Figure Digital predistortion linearizer development unit External view Figure Digital predistortion linearizer development unit Internal view

129 4.1.3 INTERNAL STRUCTURE AND IMPLEMENTATION OF THE DIGITAL SIGNAL SYNTHESIZER 114 The purpose of the Digital Signal Synthesizer (DSS) is to load in its internal memory two discrete-time sample sequences of a waveform, and output these samples in sequence and at a specific time given by its internal clock. Figure shows a block diagram of the DSS. The controller first interfaces with the PC via the USB port, and loads the two Random Access Memories (RAM) with the two discrete-time sequences. Together with the sequences, information on the length of the sequences is provided in order to program the programmable modulus counters for the specific sequence length. After the loading of the data into the RAMs has been completed and the counters have been programmed, the two programmable counters scan all the addresses of the RAMs sequentially, at the system clock rate. The result of this is that each individual sample stored in memory is output as the digital I and Q baseband signals, in the form of 16 parallel bits. The current DSS prototype has been implemented using Xilinx Field Programmable Logic Devices (FPGAs) at Linearizer Technology, Inc. (Hamilton, New Jersey). Figure shows a view of the printed circuit board that holds the FPGA chips, RAM chips, controller and clock. The clock frequency of this unit is 100 MHz, but it has the potential to achieve higher clock frequencies in the future. Each RAM can hold up to 4000 discrete-time signal samples. Although the design is quite complex and it required the use of a seven-layer printed circuit board, it did not involve any new design technique. It can be classified as a trivial engineering project, for which no further details will be considered.

130 115 CLOCK OUTPUT MODULUS CLOCK PROG. MODULUS COUNTER 11 ADDRESS RAM 16 I OUTPUT 16 CONTROLLER 16 DATA USB PORT CLOCK MODULUS PROG. MODULUS COUNTER 11 ADDRESS RAM 16 Q OUTPUT Figure Block diagram of the Digital Signal Synthesizer (DSS) Figure Digital Signal Synthesizer (DSS) implementation using Xilinx FPGA s

131 4.1.4 DIGITAL TO ANALOG CONVERTERS, RECONSTRUCTION FILTERS AND QUADRATURE MODULATOR IMPLEMENTATION 116 The 16 bit wide, parallel digital data streams that are generated by the DSS represent the in-phase and quadrature components of the baseband signal previously stored in the RAMs. The Digital to Analog Converters (DACs) transform the digital signals into analog signals. The DAC used in this project is an Analog Devices AD9777 chip, which contains two 16-bit DACs with several interpolation options. In this case, a two-times interpolation was used, doubling the output data rate to 200 Msps, from the original 100 Msps. In order to obtain a band limited signal and attenuate the aliasing products due to the digital to analog conversion, analog low pass filters have been placed at the output of the DACs. The cut-off frequency of the filters was chosen to be 55 MHz in order to obtain a completely flat response between dc and the maximum baseband frequency of 50 MHz, and attenuate the aliasing products that appear above 100 MHz (the sampling frequency at the output of the DAC was raised from 100 MHz to 200 MHz by means of the two-times interpolation, easing the design of the low pass filter. In addition, the whole 50 MHz baseband bandwidth can be used for the signal because no room for the filter roll-off is needed). The filtered output of the DACs consists of the in-phase and quadrature (complex) baseband signal components. The quadrature modulator performs the complex multiplication of the baseband signal and the Local Oscillator (LO), from which the in-phase and quadrature components have been obtained. After the multiplication, the spectrum is centered at the LO frequency instead of dc. An Analog Devices AD8346 chip was used to implement the quadrature modulator; it can operate from 800 MHz to 2.7 GHz. In order to minimize the noise pick-up between the DACs, the low pass filters and the quadrature modulator, the interface between these devices is balanced (not referenced to ground potential). Figure shows a picture of the DACs, low pass filters and quadrature modulator (based on an Analog Devices Evaluation Board AD9777G1S).

132 117 Figure Digital to analog converters, reconstruction filters and quadrature modulator QUADRATURE DEMODULATOR, ANALOG TO DIGITAL CONVERSION AND CAPTURE/STORE DEVICE IMPLEMENTATION The feedback path works in a similar way to the forward path described in 4.1.4, but the signal flow is reversed. The power amplifier provides the input signal to the quadrature demodulator, which has been implemented using an Analog Devices AD8347. This chip is capable of operating from 800 MHz to 2.7 GHz. The input level to the demodulator should not be higher than 20 dbm for good linearity, for which the output of the power amplifier must be attenuated accordingly. The quadrature demodulator multiplies the input signal (output of the power amplifier) by the same local oscillator used in the forward path. The phase shifter Φ provides the necessary phase shift in order to avoid any skew in the I/Q plane, as explained in Chapter 3, section 5.4. The outputs of the quadrature

133 118 demodulator are the in-phase and quadrature components of the baseband signal. Phase coherence with the forward path is maintained by the use of a common local oscillator. The outputs of the quadrature demodulator are converted to digital data streams by two Analog to Digital Converters (ADCs). These converters are embedded in a data acquisition system made by Gage, Inc., model Compuscope It features two synchronous channels (in-phase and quadrature) at 14 bits resolution and 100 Msps sampling rate. The system also includes the capture and store device, that has the capability of storing 1 MB of data for each channel, and the anti-alias filters, with a cut-off frequency of 50 MHz. The data acquisition system plugs into a slot of a standard Personal Computer (PC). In this case, a Dell Pentium IV with 256 MB of RAM was employed. Figure Quadrature demodulator unit

134 119 Figure Anti alias filters, analog to digital converters and capture/store device (Gage Compuscope 14100) Figure RF signal generator used for the local oscillator (Agilent E4431B)

135 4.1.6 SUMMARY 120 The hardware used in the implementation of the digital predistorter was described. With this system, signals of up to nearly 100 MHz can be processed (including the correction bandwidth). No attempt was made to provide precise details on the hardware because it is considered experimental, and its only purpose was to demonstrate the validity of the theories. The complex baseband signal is first generated by the computer in the form of real and imaginary time samples, and loaded into the digital signal synthesizer memories. During normal operation, these memories are sequentially scanned at the system clock rate, and the previously loaded signal samples are applied to the real and imaginary digital to analog converters. The 16-bit baseband digital signals are low pass filtered to remove aliasing frequency components, and applied to the quadrature modulator. This device translates the baseband signal, centered at dc, to the desired radio frequency. This frequency translation is accomplished by multiplying the complex baseband signal by the local oscillator in-phase and quadrature components. The output of the modulator is applied to the power amplifier or similar nonlinear device. For the purpose of characterizing the nonlinear device and to perform the self-adjustment of the digital predistorter, a demodulator translates the attenuated output of the nonlinear device back to baseband. The local oscillator employed is the same one used for the upconversion, in order to maintain the phase coherence of the signal throughout the system. The real and imaginary parts of the downconverted baseband signal are converted to digital by means of two 14-bit analog to digital converters, and used by the computer to perform the necessary calculations to build the predistortion tables, equalization, etc. A specific application can be designed based upon the prototype described, and tailored to the particular needs. The input sampling rate and the physical level interface can vary a great deal; this is true also for the operating frequency range, for which it is impractical to design a universal interface, wide range input interpolator or wideband up/down converters, that will only increase cost and complexity unnecessarily.

136 121 Figure depicts a block diagram of the digital predistorter. It is similar to the diagram shown in Figure 4.1.1; however, in this case, information on the particular devices used for the implementation is shown. AD9777 EVALUATION BOARD DSS (XILINX FPGAs) DAC ½ AD9777 DAC ½ AD9777 LPF LPF QUAD. MOD. AD8346 PA CLOCK AGILENT E4431B LO ATTEN. USB INTERFACE Ф PC DELL PENTIUM IV CAPTURE & STORE ADC ADC LPF LPF QUAD. DEMOD. AD8347 GAGE COMPUSCOPE Figure Digital predistortion linearizer system block diagram

137 4.2. FEEDBACK PATH EQUALIZATION IMPLEMENTATION 122 The imperfections of the feedback path were analyzed in Chapter 3, Section 3. It was found that linear distortions could degrade the performance of the digital predistorter due to the fact that they make it impossible to know the actual output of the nonlinear device, and by consequence, its nonlinear characteristics. The anti-alias filters and the quadrature demodulator (also called quadrature downconverter) are the main contributors to these linear distortions. This section describes several algorithms implemented in Matlab that use the concepts of Chapter 3, Section 3, to characterize the imperfections of the feedback path, generate the equalizer impulse response, and perform the equalization of the feedback signal samples. The characterization process, carried out by the Matlab file make_feedback_eq.m, whose flow chart is shown in Figure 4.2.2, consists of the following steps: 1 - Measurement of the dc offset 2 - Measurement of the quadrature demodulator phase error and other fixed time delays 3 - Measurement of the complex frequency response of the I and Q channels 4 - Generation of the equalizer impulse response The equalization of the feedback path must be performed every time the digital predistorter needs to sample the output of the non-linear device. This process, carried out by the Matlab file sample.m, whose flow chart is shown in Figure 4.2.9, involves the following tasks: 1 Sampling and store of the signal 2 Correction of the dc offsets 3 Correction of the quadrature demodulator phase error and other fixed time delays

138 4 Correction of the complex frequency response of the I and Q channels, and equalization of their absolute gains MEASUREMENT OF THE QUADRATURE DEMODULATOR ERRORS AND FIXED TIME DELAY BETWEEN I AND Q The algorithm for the measurement of the quadrature demodulator errors and fixed time delay between I and Q is described in Figure 4.2.2, in the form of a flow chart. The Matlab file make_feedback_eq is the main program, and the function relative_fdbk.m (Figure 4.2.3) performs certain repetitive tasks. Figure shows the setup utilized for the characterization of the feedback path. The digital predistorter described in Chapter 4, Section 1, is used in this case only as a quadrature demodulator. The reference signal generator is an Agilent E4431B, whose fixed level, unmodulated output is used as the local oscillator for the quadrature demodulator, and its variable, modulated output is used as the reference signal for the equalization. The Analog to Digital Converter (ADC) consists of the Gage Compuscope boards, plugged into the Personal Computer (PC) that runs the Matlab software. REFERENCE SIGNAL GEN. REF OUT RF OUT LO IN I OUT I IN MODULATED RF OUT PREDISTORTER (USED AS DEMODULATOR) ADC PC RF IN Q OUT Q IN REF IN Figure Setup for the measurement of the quadrature demodulator errors and fixed time delay

139 124 make_feedback_eq.m START INJECT 100 KHz REFERENCE SIGNAL relative_fdbk.m MEASURE DC LEVEL MEASURE RELATIVE PHASE CALCULATE DC OFFSET CALCULATE DEMODULATOR PHASE ERROR FREQ =1 INJECT VARIABLE FREQ. SIGNAL AT FREQ MHz sig_fdbk.m FREQ = FREQ 1 MEASURE PHASE AND AMPLITUDE OF VARIABLE FREQ. SIGNAL RESPECT TO 100 KHz REFERENCE FOR I AND Q CHANNELS NO FREQ =50? YES CALCULATE I CHANNEL FREQUENCY RESPONSE CALCULATE Q CHANNEL FREQUENCY RESPONSE GENERATE I AND Q EQUALIZER IMPULSE RESPONSE SAVE FEEDBACK EQUALIZER COEFFICIENTS END Figure Feedback path equalization main program flow chart

140 125 relative_fdbk.m START CAPTURE I AND Q (8000 SAMPLES) CALCULATE I SIGNAL MEAN VALUE CALCULATE Q SIGNAL MEAN VALUE DC LEVEL(I) = I - I(MEAN VALUE) DC LEVEL(Q) = Q - Q(MEAN VALUE) CALCULATE PHASE OF I RESPECT TO Q USING DOT PRODUCT END Figure Function relative_fdbk.m used to measure the individual dc offset and relative phase of two sine waves of the same frequency The make_feedback_eq.m program starts by prompting the user to inject a signal of a frequency 100 KHz higher than the local oscillator frequency, which must not drive the quadrature demodulator into its nonlinear region (in this particular case, -20d dbm). This signal is generated by setting the reference signal generator to multitone, and programming a single 100 KHz tone above the suppressed carrier. The software then calls the function relative_fdbk.m, shown in Figure in the form of a flow chart. This function samples and stores 8000 samples of the I and Q signals in two individual vectors. It then calculates the mean value of I and Q, which correspond to the dc component of each one. The dc component is later subtracted from the original I and Q signals in order to force the mean value to be zero. If the relative phase between the in-phase and quadrature local oscillator signals were exactly 90 degrees, and the sampling of both I and Q would occur at exactly the same time, then the relative phase between the

141 126 sampled I and Q should also be exactly 90 degrees. Any delay between I and Q or phase other than 90 degrees between the in-phase and quadrature local oscillator signals will introduce a phase error. In order to measure the relative phase between I and Q, the arc cosine of the dot product of I and Q (which now have zero mean value) divided by the product of their respective magnitudes is calculated. This angle is the actual phase between I and Q, which provides the information needed to perform the quadrature demodulator correction as described in Chapter 3, Section MEASUREMENT OF THE COMPLEX FREQUENCY RESPONSE OF THE FEEDBACK PATH, AND EQUALIZER IMPULSE RESPONSE CALCULATION The equipment setup required for the measurement of the complex frequency response of the feedback path is shown in Figure The reference signal generator has a dual output and again provides the local oscillator, plus one more signal 100 KHz above the local oscillator frequency. The variable frequency signal generator provides a signal of constant amplitude, which is swept from 1MHz to 49 MHz above the local oscillator frequency. REFERENCE SIGNAL GEN. REF IN MODULATED RF OUT RF OUT HYBRID COUPLER LO IN RF IN I OUT PREDISTORTER (USED AS DEMODULATOR) Q OUT I IN ADC Q IN PC REF IN RF OUT REF OUT VARIABLE FREQ. SIGNAL GEN. Figure Setup for the measurement of the complex frequency response of the feedback path

142 127 Each one of the variable frequency signals is compared with the reference signal in order to determine their relative amplitude and phase, for which both signal generators and the ADC must be synchronized by sharing a common reference oscillator. sig_fdbk.m START CAPTURE I AND Q (8000 SAMPLES) SELECT I OR Q SIGNAL FIND AMPLITUDE AND PHASE OF REFERENCE AND VARIABLE FREQUENCY SIGNALS USING GOERTZEL ALGORITHM CALCULATE RELATIVE AMPLITUDE AND PHASE END Figure Function sig_fdbk.m used to measure the relative phase between a 100 KHz reference signal and a variable frequency signal The Goertzel algorithm [Oppenheim, Ref. 39] is used to measure the amplitude and phase of the signals. This algorithm is faster than the traditional fast Fourier transform (FFT) because it is optimized for the calculation of only certain frequencies, and not all of them, like the FFT. Each channel requires its own equalizer because the equalization is intended to correct for imperfections in each channel individually. The equalizer frequency response is calculated as the reciprocal of the measured frequency response of each channel (this is accomplished by calculating the inverse of the magnitude and minus the phase of the channel frequency response).

143 128 Once the complex frequency response of both equalizers is known, their respective impulse responses are calculated using the Inverse Fast Fourier Transform (IFFT). The impulse response is more convenient than the frequency response for this application because the signal processing in the digital predistorter is performed entirely in the time domain. Figure shows plots of the I and Q channels frequency response, their respective equalizers frequency response, and the resultant frequency response after the equalization has been applied. Figures and show the group delay of both channels. All plots were generated with the Matlab program make_feedback_eq.m and the predistorter described in Chapter 4, Section Amplitude Equalization of I and Q channels I response Q response I equalization Q equalization I overall reponse Q overall response Magnitude Frequency Response Frequency [MHz] Figure I and Q channels frequency response, their respective equalizers frequency response, and the resultant frequency response after the equalization has been applied to the feedback path

144 129 1 I Channel Group Delay Group Delay [microsec] Frequency [MHz] Figure I channel group delay 1 Q Channel Group Delay Group Delay [microsec] Frequency [MHz] Figure Q channel group delay

145 FEEDBACK SIGNAL ACQUISITION AND EQUALIZATION PROCESS 130 During the forward path equalization or the characterization of the nonlinear device, it becomes necessary to sample the output signal of the nonlinear device. The Matlab function sample.m, whose flow chart appears in Figure 4.2.9, performs the sampling, storage, and equalization of the captured signal. The sample.m function starts by sampling and storing 8000 samples of the I and Q channels. The equalization parameters, which consist of the dc offsets, quadrature demodulator phase error, and impulse response of the equalizers, are loaded from a file that resides in the computer s hard drive (those parameters were previously generated by the Matlab file make_feedback_eq.m, as described in and 4.2.2). Once the 8000 samples have been stored in memory and the correction coefficients loaded, time convolution is calculated between the captured samples and the impulse response of the equalizer. Then the dc offset coefficients are subtracted from the equalized signal, and the quadrature demodulator phase error is corrected, according to the method described in Chapter 3, Section 3.2. sample.m START CAPTURE I AND Q (8000 SAMPLES) GET IMPULSE REPONSE, DC OFFSET AND PHASE ERROR FROM FILE CORRECT FREQUENCY AND PHASE RESPONSE CORRECT DC OFFSET CORRECT QUADRATURE DEMODULATOR PHASE ERROR END Figure Function sample.m used to capture and equalize the output signal of the nonlinear device

146 SUMMARY 131 The feedback path of the digital predistorter must be equalized first, in order to eliminate the linear distortions that can affect the convergence of the predistorter to a satisfactory intermodulation distortion cancellation. Two signal generators are used as amplitude and phase references for the equalization. It is necessary for both generators to be phase-locked to the same reference oscillator, in order to be able to determine the phase response of the system as a function of frequency, and the quadrature demodulator phase error. The effectiveness of the equalization will depend primarily on the amplitude accuracy of the signal generators used for the measurements. Noise can generally be reduced to acceptable levels by averaging out several similar measurements. The feedback path equalization is extremely important because the feedback path is used for the equalization of the forward path and the characterization of the nonlinear device. Any errors in the feedback path equalization will be propagated to the rest of the measurements.

147 4.3. FORWARD PATH EQUALIZATION IMPLEMENTATION 132 The imperfections of the forward path were analyzed in Chapter 3, Section 4. If linear distortions are present in the forward path, they can affect the amplitude and phase of the intermodulation distortion products generated by the predistorter, degrading its performance. The reconstruction filters and the quadrature modulator (also called quadrature upconverter) are the main contributors to these linear distortions. This section describes several algorithms implemented in Matlab that use the concepts of Chapter 3, Section 4, to characterize the imperfections of the forward path, generate the equalizer impulse response, and perform the equalization of the signal generated by the Digital Signal Synthesizer (DSS). The whole process is very similar to the equalization of the feedback path, except that in this case no external test equipment is needed. The test signals are generated by the DSS, and the measurements are performed using the feedback path of the digital predistorter. The characterization process is carried out by the Matlab file make_forward_eq.m, whose flow chart is shown in Figure 4.3.1, and consists of the following steps: 1 - Measurement of the complex frequency response of the I and Q channels 2 - Generation of the equalizer impulse response 3 - Measurement of the dc offset 4 - Measurement of the quadrature modulator phase error and other fixed time delays The equalization of the forward path must be performed every time the DSS generates a signal, whether it is predistorted or not. This process is carried out by the Matlab file forw_eq.m, whose flow chart is shown in Figure 4.3.6, and involves the following tasks:

148 1 - Correction of the dc offset Correction of the quadrature modulator phase error and other fixed time delays 3 - Correction of the complex frequency response of the I and Q channels, and equalization of their absolute gains MEASUREMENT OF THE COMPLEX FREQUENCY RESPONSE OF THE FORWARD PATH AND EQUALIZER IMPULSE RESPONSE CALCULATION The setup needed for the measurement of the complex frequency response of the forward path is shown in Figure Contrary to the feedback path equalization, no test equipment is needed for the measurement. In this case, the output of the quadrature modulator is connected to the input of the quadrature demodulator through a variable attenuator, which must be adjusted in such a way that the demodulator operates in its linear region. The feedback path acts as the measuring device. The measurement of the complex frequency response is carried out by comparing a 100 KHz reference signal to a variable frequency signal of constant amplitude, whose frequency is varied in 1 MHz steps from 1 MHz to 49 MHz. The relative phase between both input signals is a constant, so the phase information of the forward path can be obtained by examining the relative phase at its output. The Matlab function make_forward_eq.m first programs the DSS to sequentially output the 100 KHz reference signal and the variable frequency signal, the latter in 1 MHz steps. After each set of waveforms is loaded, make_forward_eq.m calls the function sample.m, described in Chapter 4, Section 2.3. This function captures 8000 samples of I and Q, stores them in memory, and performs the equalization, in order to minimize the imperfections of the feedback path. Similarly to the equalization of the feedback path, the Goertzel algorithm [Oppenheim, Ref. 39] is used to measure the amplitude and phase of the signals stored in memory. This algorithm is faster than the traditional fast Fourier transform (FFT) because it is optimized for the calculation of only certain frequencies, and not all of them, like the FFT.

149 134 make_forward_eq.m START FREQ =1 FREQ = FREQ 1 GENERATE VARIABLE FREQ. SIGNAL AT FREQ MHz, PLUS 100 KHz REFERENCE MEASURE PHASE AND AMPLITUDE OF VARIABLE FREQ. SIGNAL RESPECT TO 100 KHz REFERENCE FOR I AND Q CHANNELS sig_fwd.m NO FREQ =50? YES CALCULATE EQUALIZER FREQUENCY RESPONSE AS THE RECIPROCAL OF THE SYSTEM FREQUENCY RESPONSE CALCULATE EQUALIZER IMPULSE RESPONSE GENERATE A 100 KHz SIGNAL ABOVE THE LOCAL OSCILLATOR relative_fwd.m MEASURE DC LEVEL MEASURE RELATIVE PHASE CALCULATE DC OFFSET CALCULATE MODULATOR PHASE ERROR SAVE FORWARD EQUALIZER COEFFICIENTS END Figure Forward path equalization main program flow chart

150 135 DAC LPF DSS DAC LPF QUAD. MOD. CLOCK LO ATT USB INTERFACE Ф PC CAPTURE & STORE ADC LPF QUAD. DEMOD. ADC LPF Figure Setup for the measurement of the complex frequency response of the forward path Like with the feedback path, each one of the channels (I and Q) requires its own equalizer because the equalization is intended to correct for imperfections in each channel individually. The equalizer frequency response is calculated as the reciprocal of the measured frequency response of each channel (this is accomplished by calculating the reciprocal of the magnitude and the negative of the phase of the channel frequency response). Once the complex frequency response of both equalizers is known, their respective impulse responses are calculated using the Inverse Fast Fourier Transform (IFFT). The impulse response is more convenient than the frequency response for this application because the signal processing in the digital predistorter is performed entirely in the time domain. Figure shows the I and Q channels frequency response and their respective equalizers frequency response after the equalization has been applied to the forward path. This plot was generated with the make_forward_eq.m function, and the predistorter described in Chapter 4, Section 1.

151 136 sig_fwd.m START sample.m CAPTURE I AND Q (8000 SAMPLES) AND EQUALIZE SELECT I OR Q SIGNAL FIND AMPLITUDE AND PHASE OF REFERENCE AND VARIABLE FREQUENCY SIGNALS USING GOERTZEL ALGORITHM CALCULATE RELATIVE AMPLITUDE AND PHASE END Figure Function sig_fwd.m used to measure the relative phase between the 100 KHz reference signal and the variable frequency signal Forward Amplitude Equalization of I and Q channels 0.8 Magnitude Frequency Response I response Q response I equalization Q equalization Frequency [MHz] Figure I and Q channel frequency responses and their respective equalizer frequency responses after the equalization has been applied to the forward path

152 MEASUREMENT OF THE QUADRATURE MODULATOR ERRORS AND FIXED TIME DELAY BETWEEN I AND Q 137 After the Matlab file make_forward_eq calculates the impulse response of the forward path equalizers, it is necessary to measure the quadrature modulator errors and any time delay existing between I and Q. For this purpose, make_forward_eq first generates a 100 KHz signal above the local oscillator frequency by generating a 100 KHz baseband signal. Then the function relative_fwd.m (shown in Figure 4.3.5) is called. This function samples and stores 8000 samples of the I and Q signals in two individual vectors. It then calculates the mean value of I and Q, which correspond to the dc component of each one. The dc component is later subtracted from the original I and Q signals in order to force the mean value to be zero. relative_fwd.m START sample.m CAPTURE I AND Q (8000 SAMPLES) AND EQUALIZE CALCULATE I SIGNAL MEAN VALUE CALCULATE Q SIGNAL MEAN VALUE DC LEVEL(I) = I - I(MEAN VALUE) DC LEVEL(Q) = Q - Q(MEAN VALUE) CALCULATE PHASE OF I RESPECT TO Q USING DOT PRODUCT END Figure Function relative_fwd.m used to measure the individual dc offset and relative phase of two sine waves of the same frequency

153 138 If the relative phase between the in-phase and quadrature local oscillator signals were exactly 90 degrees, and the generation of both I and Q would occur at exactly the same time, then the relative phase between I and Q should also be exactly 90 degrees. Any delay between I and Q or phase other than 90 degrees between the in-phase and quadrature local oscillator signals will introduce a phase error. In order to measure the relative phase between I and Q, the arc cosine of the dot product of I and Q (which now have zero mean value) divided by the product of their respective magnitudes is calculated. This angle is the actual phase between I and Q, which provides the information needed to perform the quadrature modulator correction as described in Chapter 3, Section EQUALIZATION OF THE FORWARD CHANNEL Every time the Digital Signal Synthesizer (DSS) generates a signal, whether it is predistorted or not, it is desirable to correct for the imperfections of the forward path in order not to alter the original characteristics of the signal. The Matlab program make_forward_eq generates a set of parameters that can be used to equalized the forward path and reduce its linear distortions. The equalization of the forward path is performed by the Matlab function forw_eq.m, whose flow chart is shown in Figure The function first starts by loading the equalization parameters from the hard drive of the computer, where they have been previously stored by the function make_forward_eq. It then corrects for the frequency response by performing time convolution between the impulse response of the I and Q equalizers and the signals from each channel. Even though the equalizer coefficients are complex, there is a set of coefficients for each channel because the equalization is carried out on each channel independently (the majority of the linear distortions come from the reconstruction filters). After the frequency response has been made flat, the dc level coefficients are subtracted from the I and Q signals, avoiding, in this way, any leakage of local oscillator to the output of the quadrature modulator.

154 The last step in the equalization is the correction of fixed time delays and quadrature modulator local oscillator phase error. The process is based on the equations of Chapter 3, Section Figure shows a spectrum plot of the output of the digital predistorter before and after the equalization of the forward path took place. The test signal consists of 99 unmodulated, constant amplitude and phase carriers. The bottom trace was plotted before the equalization, and shows evident ripple in the magnitude of the frequency response, which coincides with the frequency response of the I and Q channels shown in Figure forw eq.m START GET IMPULSE REPONSE, DC OFFSET AND PHASE ERROR FROM FILE CORRECT FREQUENCY AND PHASE RESPONSE CORRECT DC OFFSETS CORRECT QUADRATURE MODULATOR PHASE ERROR END Figure Function forw_eq.m used to equalize the forward path Figure shows a single 5 MHz carrier below the local oscillator frequency generated by the DSS. The red trace was plotted before the equalization, and it can be seen that the upper sideband of the quadrature upconversion is evident at 42 db below the carrier due to linear distortions in the forward path. The green trace shows the spectrum after the equalization, in which the unwanted upconversion sideband is more than 60 db below the carrier.

155 140 Figure Spectrum plot of the output of the digital predistorter with 99 equally spaced, constant amplitude and phase carriers, before (bottom) and after (top) the equalization of the forward path Figure Spectrum plot of the output of the digital predistorter with a single carrier, before (red) and after (green) the equalization of the forward path

156 SUMMARY 141 After the completion of the feedback path equalization, the equalization of the forward path must take place. Similarly to the feedback path, the forward path linear distortions must be cancelled out in order to achieve good performance, whether the signal being generated is predistorted or not. The first step in the equalization process is the measurement of the linear distortions of the forward path, for which the feedback path is used as the measurement device. The function of the digital signal synthesizer is to generate the necessary test waveforms. The effectiveness of the equalization of the forward path is of great importance because, as seen in Chapter 3, Section 7.2, any amplitude or phase error in the predistorted signal or mismatch between the predistorter transfer function and the nonlinear device transfer function will severely degrade the performance of the digital predistorter.

157 4.4. NON-LINEAR DEVICE CHARACTERIZATION 142 The complex gain of the non-linear device as a function of its input level can be measured after the feedback and forward paths have been equalized to remove their linear distortions. The objective of the digital predistorter is to reduce non-linear distortion, whether the non-linear device or any other system component causes it. For this reason, both the predistorter and the non-linear device will be characterized together. The non-linear device will be, in most cases, an RF power amplifier (PA). The characterization process relies on the use of a special test waveform that drives the output of the nonlinear device to saturation, and the comparison of this test signal to the output of the non-linear device in order to determine the transfer characteristic as a function of input level MEASUREMENT OF THE NON-LINEAR DEVICE LINEAR DISTORTIONS The effects of linear distortions that occur between the predistorter and the PA were analyzed in Chapter 3, Section 6.2. It was found that in most cases, the non-linear characteristics of the PA are essentially the same across the operating frequency range, but any variation in gain between the predistorter and the PA can cause a mismatch that will degrade the performance of the predistorter. An equalizer can be inserted between the predistorter and the PA in order to reduce the linear distortions and improve the predistorter performance. An additional equalizer could be inserted before the predistorter if the original frequency response of the PA needs to be maintained. The Matlab function measure_lin_dist.m, whose flow chart is shown in Figure 4.4.2, performs the measurement of the above-mentioned linear distortions. Figure represents a block diagram of the measurement setup.

158 143 The measurement of the linear distortions consists of the consecutive generation and amplitude measurement of 99 sinusoidal signals, ranging from 49 MHz below to 49 MHz above the local oscillator frequency. This translates to -49 MHz to 49 MHz at baseband. After the generation of the signal samples, the forward equalization must be applied in order to reduce the quadrature modulator errors, reconstruction filter frequency response ripple, and (sin x)/x frequency response shaping produced by the sample and hold characteristic of the digital to analog converters. The function measure_lin_dist.m measures the amplitude by using the Goertzel algorithm [Oppenheim, Ref. 39]. After the 99 signal amplitudes have been collected, the frequency response is generated, and normalized to 1 for the maximum signal amplitude. The equalizer is calculated as the reciprocal of the frequency response. The equalizer impulse response is then calculated as the inverse fast Fourier transform of the equalizer frequency response, and stored in the computer s hard drive for later use. DAC LPF DSS DAC LPF QUAD. MOD. PA CLOCK LO ATTEN. USB INTERFACE Ф PC CAPTURE & STORE ADC LPF QUAD. DEMOD. ADC LPF Figure Setup for the measurement of the non-linear distortion of the RF Power Amplifier (PA)

159 144 measure_lin_dist.m START FREQ =-49 GENERATE VARIABLE FREQ. SIGNAL AT FREQ MHz FREQ = FREQ 1 EQUALIZE SIGNAL forw_eq.m MEASURE AMPLITUDE OF SIGNAL USING GOERTZEL FUNCTION NO FREQ =49? YES GENERATE EQUALIZER FREQUENCY RESPONSE AS THE RECIPROCAL OF THE MEASURED FREQUENCY RESPONSE CALCULATE EQUALIZER IMPULSE RESPONSE SAVE LINEAR DIST. EQUALIZER COEFFICIENTS END Figure Matlab function measure_lin_dist.m flow chart, for the measurement of linear distortions between the predistorter and the non-linear device MEASUREMENT OF THE COMPLEX GAIN OF THE NON-LINEAR DEVICE AS A FUNCTION OF ITS INPUT LEVEL In Chapter 3, Section 5.2, a time-domain method for the measurement of the complex gain of the non-linear device as a function of its input power level was developed. The basis of the method consisted of the comparison of the input and output waveforms of the non-linear device, and the calculation of its dynamic

160 145 complex gain as the ratio of the real output signal to the real input signal for the real part of the gain, and the ratio of the imaginary output signal to real input signal for the imaginary part of the gain. The test waveform is a two-tone signal, with imaginary part equal zero, and sufficient level to drive the non-linear device to saturation. The Matlab function nonlinear.m, whose block diagram is shown in Figure 4.4.3, performs the automated measurement of the non-linear device complex gain as a function of its input power level. The measurement set up is shown in Figure nonlinear.m START GENERATE TWO-TONE SMALL SIGNAL AT 1 MHz SEPARATION, 20 db BACK OFF EQUALIZE SIGNAL MEASURE AMPLITUDE AND PHASE OF SIGNAL USING LMS FIT GENERATE TWO-TONE LARGE SIGNAL AT 1 MHz SEPARATION, 0 db BACK OFF EQUALIZE SIGNAL SYNCHRONIZE LARGE AND SMALL SIGNAL USING ZERO CROSSINGS COMPARE LARGE AND SMALL SIGNAL AMPLITUDES TO DETERMINE I AND Q GAINS SAVE I AND Q GAINS AND INPUT VOLTAGE TO HARD DRIVE END Figure Matlab function nonlinear.m flow chart, for the measurement of the complex gain of the non-linear device as a function of its input level

161 146 In order to obtain meaningful results, the small signal gain of the system at the measurement frequency must be first determined. The function nonlinear.m first generates a two-tone signal at 20 db back-off from saturation, which keeps the non-linear device within its linear region. The two-tone signal must be equalized before being applied to the non-linear device in order to cancel the forward path and the nonlinear device linear distortions. The tones frequency separation determines the bandwidth across which the small signal gain will be measured. The two-tones are normally centered at dc (baseband), or at the local oscillator frequency (after the upconversion to RF). After the generation and equalization of the 20 db back-off, two-tone signal, the program nonlinear.m samples and measures the amplitude of the output of the nonlinear device using a Least Mean Squares (LMS) fit of the sampled signal, compares it to the originally generated signal, and determines the smallsignal system gain. The term system in this particular case involves the gain/loss of the forward path, the non-linear device, external attenuators/cables, and the feedback path. Once the measurement of the small-signal system gain has been completed, the program nonlinear.m generates a similar two-tone signal, but this time its amplitude is such that the signal peak drives the nonlinear device to saturation. The equalization process described above is once more employed to cancel the system linear distortions. Again, the output of the non-linear device is sampled, and the real and imaginary system gains are determined according to the following equations, R[ gain] = I I out in Q I[ gain] = I out in where R [gain] is the real part of the gain, I out is the real part of the sampled signal, I in is the generated signal, I [gain] is the imaginary part of the gain, and Q out is the imaginary part of the sampled signal.

162 147 Figure shows the waveforms involved in the complex gain calculation process after the output of the non-linear device has been normalized to cancel the small signal gain. The input signal has zero imaginary part (represented by Q input), but the imaginary output of the non-linear device has significant amplitude. The reason for this to occur is the presence of an imaginary component in the complex gain of the nonlinear device. It can also be observed the compression of the magnitude of the gain at the signal peaks (I output is smaller than I input) Two-tone waveform before and after the non-linear device I Output I Input Q Output Q Input Sample # Figure Non-linear device input and output waveforms sampled during the non-linear device complex gain measurement Figure shows the measured gain of a Traveling Wave Tube Amplifier (TWTA) in both rectangular and polar modes. The horizontal axis represents the input voltage; in this case, 250 points have been used. The maximum voltage corresponds to point 250, and the minimum voltage to point 0. The input voltage is sinusoidal, for which the plots are not linear. This is an advantage instead of a problem because the sinusoidal axis contains more points for input voltages closer to saturation, where the distortion is larger. Figure represents a similar plot for a Solid State Power Amplifier (SSPA).

163 148 Real Gain 0.05 Imaginary Gain Magnitude Phase [deg] Figure Complex gain as a function of input voltage for a typical TWTA 1 Real Gain 0.3 Imaginary Gain Magnitude 15 Phase [deg] Figure Complex gain as a function of input voltage for a typical SSPA

164 149 Gain compression and phase shift (negative for the TWTA and positive for the SSPA) at high input levels can be clearly observed in both figures. The method of non-linear gain measurement described above can be performed at other frequencies by shifting the local oscillator signal across the non-linear device operating frequency range, in order to place the test signal on various other frequencies where the gain needs to be evaluated SUMMARY A time-domain, fully automated method of non-linear complex gain measurement was described. The measurement not only includes the non-linear device (typically an RF power amplifier), but also other components of the system, like the forward path of the digital predistorter, which can also contribute to the overall non-linear behavior. The method relies basically in the comparison of a purely real sinusoidal waveform to the output of the non-linear device; for each discrete input signal level, the output is measured and the gain calculated. The proposed methodology is not only accurate and fast, but it also does not require the intervention of skilled personnel, or the use of external test equipment.

165 4.5. DIGITAL PREDISTORTER IMPLEMENTATION 150 The algorithms described in the previous sections of this chapter set the basis for the implementation of the digital predistorter. They are of extreme importance because unless the predistorter and the non-linear device are carefully equalized, the distortion cancellation could be severely degraded. The predistortion method of distortion correction consists basically of a complex multiplication between the predistorter gain and the signal, for any given signal level. The magnitude of the predistorter gain is calculated in such manner that it increases when the magnitude of the non-linear device gain decreases, and the phase of the predistorter gain becomes the negative of the phase of the non-linear device gain. Because the distortion introduced by the non-linear device is not only a function of the signal level, but also the signal and envelope frequencies, memory effects will appear. Several mechanisms can be introduced to the memoryless predistorter in order to additionally correct for those memory effects DISCRETE-TIME SIGNAL GENERATION The generation of the test waveforms and various other signals used for the evaluation of the digital predistorter is carried out before the predistortion takes place, as shown in the flow chart of Figure In the case of the current predistorter, 4000 time samples of the signal can be generated and stored in memory for further processing. In some cases, the signal need not be complex. This is true for symmetrical, multi-tone signals centered on the local oscillator frequency. However, if the gain of the non-linear device has non-zero imaginary component, the output of the predistorter will have a non-zero imaginary part, even if the original signal was purely real.

166 151 It is important to avoid abrupt phase discontinuities between the last and the first sample during the signal generation process because those discontinuities will lead to increased spurious responses. As a result, in the case of a sequence composed of a finite number of time samples, it becomes impossible to generate signals of any arbitrary baseband frequency between dc and the Nyquist frequency. If f is defined as f = F s Nsamp where F s is the sampling frequency, and Nsamp is the number of time samples of the sequence, then any sinusoidal signal whose frequency is a multiple of f will not introduce any discontinuities between the first and last sample of the sequence. In the case of the current digital predistorter implementation (F s 100 MHz, Nsamp 4000 samples), f equals 25 KHz IMPLEMENTATION OF THE DIGITAL PREDISTORTER WITH MEMORY Figure shows a flow chart representing the Matlab function predistort.m, which implements a complete digital predistorter with memory. The whole process is carried out in discrete-time sequences of 4000 complex samples each. Before the actual predistortion can take place, the gain of the predistorter must be calculated. The process, analyzed in Chapter 3, Section 1.3, requires the previous knowledge of the non-linear device gain, which must be measured in advance using the Matlab function nonlinear.m, described in Chapter 4, Section 4.2. The magnitude of the predistorter gain is calculated as the reciprocal of the magnitude of the non-linear device gain (both normalized to be unity at saturation), and the phase of the predistorter gain is calculated as the negative of the phase of the non-linear device gain (both normalized to be zero at zero input power). In order to make the system (predistorter / non-linear device) gain a constant k, the output power of the non-linear device must be made equal to k times the predistorter input power.

167 152 The memory effects correction is carried out by two filters, one placed before the predistorter, and another one placed after the predistorter. This technique was analyzed in Chapter 3, Section 6.2, and the Matlab program measure_lin_dist.m, which performs the necessary measurements to calculate both filters parameters, was developed in Chapter 4, Section 4.1. predistort.m START GENERATE WAVEFORM SAMPLES CALCULATE PREDISTORTER COMPLEX GAIN FIRST MEMORY-EFFECTS FILTER FIND PREDISTORTER GAIN FOR CURRENT SIGNAL MAGNITUDE CALCULATE MAGNITUDE OF SIGNAL MULTIPLY SIGNAL BY PREDISTORTER GAIN FORWARD PATH EQUALIZATION SIGNAL PATH SECOND MEMORY-EFFECTS FILTER AUXILIARY CALCULATIONS OUTPUT SIGNAL SAMPLES TO DIRECT DIGITAL SYNTHESIZER END Figure Flow chart of the Matlab function predistort.m, which implements a digital predistorter with memory The Matlab function predistort.m, shown in Figure 4.5.1, starts by calculating the predistorter gain and generating the desired signal time samples (the function is currently designed for multi-tone signals generation, but it can be easily modified for other types of signals). Immediately after the signal generation,

168 153 the first memory effect filter is implemented using time convolution between the filter impulse response (previously calculated by the Matlab program measure_lin_dist.m ) and the signal time samples. Immediately after, the signal magnitude is calculated for each time sample, and those magnitude values are used to calculate the gain of the predistorter, again for each signal sample. Because the predistorter gain is stored in the form of a table with finite entries, linear interpolation is used to find the predistorter gain for signal levels that fall between two table entries. The actual predistortion process takes place later. It consists of the multiplication of the signal by the predistorter gain. This is a complex multiplication, which will yield a complex result if the normalized phase of the non-linear device gain changes its value as a function of input level, even if the signal by itself has zero imaginary component. After the predistortion has taken place, the second memory effects filter and the forward equalization (also implemented using a filter) are performed using time convolution between the signal samples and the impulse responses of each filter (previously generated by the Matlab functions measure_lin_dist.m and make_forward_eq.m ). Because these two filters are linear systems, they can be combined into only one filter for simplicity and higher processing speed. Finally, the predistorted and equalized signal time samples are scaled and quantized to 2 16 (16 bits) levels, and sent through the Universal Serial Bus (USB) interface to the Digital Signal Synthesizer (DSS). A block diagram of the system is shown in the previous section in Figure

169 4.5.3 SUMMARY 154 After all the linear distortions and the gain of the non-linear device have been measured, the signal generation and the predistortion process can take place. The predistorter gain must increase when the non-linear device gain decreases, and the predistorter phase must be the negative of the non-linear device phase. The result of this process is constant system gain and phase, ideally up to the saturation point. Memory effect induced distortions are corrected by introducing filters before and after the predistorter. The function of those filters is to correct any linear distortions that may take place between the predistorter and the non-linear device.

170 4.6. EXPERIMENTAL RESULTS 155 The digital predistorter described in the previous sections has been designed specifically for the linearization of Radio Frequency (RF) power amplifiers. However, most systems can benefit from digital predistortion if the signal is available in digital format before the distortion takes place. In this section, experimental results are shown for various RF power amplifiers, including Solid State Power Amplifiers (SSPAs) and Traveling Wave Tube Amplifiers (TWTAs) CORRECTION OF LINEAR DISTORTIONS In order to demonstrate the effectiveness of the forward path equalization, Figure shows two spectrum plots of 99 sinusoids of the same amplitude and constant phase, separated by 1 MHz from each other. The peak amplitude of the waveform is such that any non-linear distortions that may occur in the system are minimized by operating the system backed-off from saturation. The test setup is shown in Figure The bottom trace (before the equalization) shows evidence of linear distortion because not all carriers are of the same amplitude. The main cause for this is ripple in the reconstruction filters, quadrature modulator dc offset errors, and (sinx)/x envelope due to the sample and hold characteristic of the Digital to Analog Converters (DACs). It must be pointed out that these particular DACs (Analog Devices AD9777) perform two-times interpolation, which shifts the first null of the (sinx)/x function to two times the sampling frequency. The top trace (after the equalization) shows all carriers of the same amplitude, including the carrier located at the center of the plot (which was due to the dc imbalance of the quadrature modulator).

171 156 Figure Equalization of the forward path in order to obtain a flat frequency response DAC LPF DSS DAC LPF QUAD. MOD. USB INTERFACE LO PC SPECTRUM ANALYZER Figure Test setup for the evaluation of linear distortions in the digital predistorter The balance of the quadrature modulator (amplitude balance and local oscillator phase) plays an important role in the generation of the signal. One method to gauge the balance of the quadrature modulator is to generate a signal that has energy only on one side of the local oscillator. Any trace of imbalance will

172 157 generate a mirror signal on the opposite side of the local oscillator frequency. Figure shows an example of a single carrier below the local oscillator (center of the plot). The red trace was plotted before the balance of the quadrature modulator took place; the image of the quadrature upconversion was not fully cancelled, and a distortion product is also evident because the image produces a variable envelope in the signal. The same signal was plotted in green after the quadrature modulator was properly balanced. Only the single signal carrier below the local oscillator is seen. The test setup, shown in Figure 4.6.2, is identical to the setup used to evaluate the equalization. DESIRED SIGNAL DISTORTION PRODUCT UNWANTED IMAGE Figure The proper balance of the quadrature modulator yields high image signal rejection during the quadrature upconversion process PERFORMANCE OF THE DIGITAL PREDISTORTER WITH MEMORY LINEARIZING A SOLID STATE POWER AMPLIFIER A generic L-band (1.5 GHz) SSPA was chosen for the demonstration of the digital predistorter with memory. The amplifier, shown in Figure 4.6.4, is an OEM (Original Equipment Manufacturer) model, based on a 1617AB35 bipolar transistor manufactured by Gigahertz Technology, in common-emitter configuration. The output power of the SSPA is 35 watts at saturation running from a 25 V power supply.

173 158 Figure Solid-state power amplifier used for the evaluation of the digital predistorter The SSPA s complex gain as a function of input signal level was shown in Figure (Chapter 4, Section 4.2). More than 1.5 db of gain compression and 15 degrees of phase shift can be observed just before the saturation point. The amplifier was linearized with the digital predistorter, and the effectiveness of the linearization was evaluated using two-tone signals at different output power levels. Figures to show the experimental results at different levels of output power back-off from saturation. All the plots shown on the left side were obtained by using a memoryless predistorter, and the plots shown on the right correspond to the predistorter with memory, for the same output back-off level. Red traces represent the signal before predistortion, and green traces correspond to the signal after predistortion. Figure shows the worst-case intermodulation distortion cancellation achieved for both cases as a function of the back-off level. It is evident that the cancellation of memory effects substantially improves the performance of the digital predistorter. The effects of the clipping of the signal can be seen at back-off levels below 3 db, which coincides with the peak to average ratio of the two-tone test signal.

174 159 Figure SSPA output spectrum with memoryless predistortion (left), and predistortion with memory (right) at 2 db output back-off level Figure SSPA output spectrum with memoryless predistortion (left), and predistortion with memory (right) at 3 db output back-off level Figure SSPA output spectrum with memoryless predistortion (left), and predistortion with memory (right) at 4 db output back-off level

175 160 Figure SSPA output spectrum with memoryless predistortion (left), and predistortion with memory (right) at 10 db output back-off level SSPA Intermodulation Distortion (IMD) improvement using digital predistortion IMD improvement [db] Back-off from saturation [db] Predistorter with Memory Memoryless Predistorter Figure SSPA IMD improvement as a function of input back-off with a memoryless predistorter and a predistorter with memory

176 4.6.3 PERFORMANCE OF THE DIGITAL PREDISTORTER WITH MEMORY LINEARIZING A TRAVELING WAVE TUBE AMPLIFIER 161 An L-band Traveling Wave Tube Amplifier (TWTA) model 489A, manufactured by Hewlett-Packard, was chosen for the demonstration of the digital predistorter linearizing vacuum tube devices. TWTAs are still widely employed by the microwave industry, particularly for high power applications where solid-state devices are not a cost-effective solution. In addition, TWTAs are also widely used in space applications because of their high efficiency and proven reliability. The TWTA, shown in Figure , delivers 1 Watt of output power from 1 to 2 GHz. Its gain as a function of input level appears in Figure (Chapter 4, Section 4.2). More than 4 db of gain compression and -12 degrees of phase shift can be observed just before the saturation point. Figure Traveling Wave Tube Amplifier used for the evaluation of the digital predistorter

Digital Compensation for Distortion

Digital Compensation for Distortion Digital Compensation for Distortion Linearizer Technology, Inc. 3 Nami Lane, Unit C-9 Hamilton, N.J. 08619 Contact: Dr. Allen Katz Phone: (609) 584-8424 Fax: (609-631-0177) 860-3535 Email: a.katz@ieee.org

More information

TECHNICAL FEATURE. spectral efficiency in mobile communications, has become a critical design issue for non-constant-envelope

TECHNICAL FEATURE. spectral efficiency in mobile communications, has become a critical design issue for non-constant-envelope MICROWAVE JOURNAL REVIEWED EDITORIAL BOARD AR629/D DIGITAL PREDISTORTION TECHNIQUES FOR RF POWER AMPLIFIERS WITH CDMA APPLICATIONS Power amplifiers (PA) used in the next-generation wireless communication

More information

Nonlinearities in Power Amplifier and its Remedies

Nonlinearities in Power Amplifier and its Remedies International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 6 (2017) pp. 883-887 Research India Publications http://www.ripublication.com Nonlinearities in Power Amplifier

More information

USE OF MATLAB IN SIGNAL PROCESSING LABORATORY EXPERIMENTS

USE OF MATLAB IN SIGNAL PROCESSING LABORATORY EXPERIMENTS USE OF MATLAB SIGNAL PROCESSG LABORATORY EXPERIMENTS R. Marsalek, A. Prokes, J. Prokopec Institute of Radio Electronics, Brno University of Technology Abstract: This paper describes the use of the MATLAB

More information

CHAPTER 6 CONCLUSION AND FUTURE SCOPE

CHAPTER 6 CONCLUSION AND FUTURE SCOPE 162 CHAPTER 6 CONCLUSION AND FUTURE SCOPE 6.1 Conclusion Today's 3G wireless systems require both high linearity and high power amplifier efficiency. The high peak-to-average ratios of the digital modulation

More information

RF/IF Terminology and Specs

RF/IF Terminology and Specs RF/IF Terminology and Specs Contributors: Brad Brannon John Greichen Leo McHugh Eamon Nash Eberhard Brunner 1 Terminology LNA - Low-Noise Amplifier. A specialized amplifier to boost the very small received

More information

RF Power Amplifier Design

RF Power Amplifier Design RF Power Amplifier esign Markus Mayer & Holger Arthaber epartment of Electrical Measurements and Circuit esign Vienna University of Technology June 11, 21 Contents Basic Amplifier Concepts Class A, B,

More information

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Introduction to Envelope Tracking G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Envelope Tracking Historical Context EER first proposed by Leonard Kahn in 1952 to improve efficiency of SSB transmitters

More information

WIRELESS TRANSCEIVER ARCHITECTURE

WIRELESS TRANSCEIVER ARCHITECTURE WIRELESS TRANSCEIVER ARCHITECTURE BRIDGING RF AND DIGITAL COMMUNICATIONS Pierre Baudin Wiley Contents Preface List of Abbreviations Nomenclature xiii xvii xxi Part I BETWEEN MAXWELL AND SHANNON 1 The Digital

More information

Digital Signal Analysis

Digital Signal Analysis Digital Signal Analysis Objectives - Provide a digital modulation overview - Review common digital radio impairments Digital Modulation Overview Signal Characteristics to Modify Polar Display / IQ Relationship

More information

Input Adaptive Linearizer System

Input Adaptive Linearizer System Abstract: Input Adaptive Linearizer System By Allen Katz, * Allan Guida, Roger Dorval and James Dragone Linearizer Technology, Inc. and * The College of New Jersey A novel adaptive predistortion linearizer

More information

Performance of MF-MSK Systems with Pre-distortion Schemes

Performance of MF-MSK Systems with Pre-distortion Schemes Performance of MF-MSK Systems with Pre-distortion Schemes Labib Francis Gergis Misr Academy for Engineering and Technology, Mansoura, Egypt drlabeeb@yahoo.com Abstract: Efficient RF power amplifiers used

More information

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices By: Richard Harlan, Director of Technical Marketing, ParkerVision Upcoming generations of radio access standards are placing

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

A balancing act: Envelope Tracking and Digital Pre-Distortion in Handset Transmitters

A balancing act: Envelope Tracking and Digital Pre-Distortion in Handset Transmitters Abstract Envelope tracking requires the addition of another connector to the RF power amplifier. Providing this supply modulation input leads to many possibilities for improving the performance of the

More information

Satellite Communications: Part 4 Signal Distortions & Errors and their Relation to Communication Channel Specifications. Howard Hausman April 1, 2010

Satellite Communications: Part 4 Signal Distortions & Errors and their Relation to Communication Channel Specifications. Howard Hausman April 1, 2010 Satellite Communications: Part 4 Signal Distortions & Errors and their Relation to Communication Channel Specifications Howard Hausman April 1, 2010 Satellite Communications: Part 4 Signal Distortions

More information

Signals and Systems Lecture 9 Communication Systems Frequency-Division Multiplexing and Frequency Modulation (FM)

Signals and Systems Lecture 9 Communication Systems Frequency-Division Multiplexing and Frequency Modulation (FM) Signals and Systems Lecture 9 Communication Systems Frequency-Division Multiplexing and Frequency Modulation (FM) April 11, 2008 Today s Topics 1. Frequency-division multiplexing 2. Frequency modulation

More information

Radio Receiver Architectures and Analysis

Radio Receiver Architectures and Analysis Radio Receiver Architectures and Analysis Robert Wilson December 6, 01 Abstract This article discusses some common receiver architectures and analyzes some of the impairments that apply to each. 1 Contents

More information

Behavioral Modeling and Digital Predistortion of Radio Frequency Power Amplifiers

Behavioral Modeling and Digital Predistortion of Radio Frequency Power Amplifiers Signal Processing and Speech Communication Laboratory 1 / 20 Behavioral Modeling and Digital Predistortion of Radio Frequency Power Amplifiers Harald Enzinger PhD Defense 06.03.2018 u www.spsc.tugraz.at

More information

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection Maxim > Design Support > Technical Documents > Application Notes > Communications Circuits > APP 3942 Maxim > Design Support > Technical Documents > Application Notes > High-Speed Interconnect > APP 3942

More information

ELEN 701 RF & Microwave Systems Engineering. Lecture 8 November 8, 2006 Dr. Michael Thorburn Santa Clara University

ELEN 701 RF & Microwave Systems Engineering. Lecture 8 November 8, 2006 Dr. Michael Thorburn Santa Clara University ELEN 701 RF & Microwave Systems Engineering Lecture 8 November 8, 2006 Dr. Michael Thorburn Santa Clara University System Noise Figure Signal S1 Noise N1 GAIN = G Signal G x S1 Noise G x (N1+No) Self Noise

More information

Effect of Baseband Impedance on FET Intermodulation

Effect of Baseband Impedance on FET Intermodulation IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 51, NO. 3, MARCH 2003 1045 Effect of Baseband Impedance on FET Intermodulation James Brinkhoff, Student Member, IEEE, and Anthony Edward Parker,

More information

Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz

Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz Application Note Overview This application note describes accuracy considerations

More information

A new generation Cartesian loop transmitter for fl exible radio solutions

A new generation Cartesian loop transmitter for fl exible radio solutions Electronics Technical A new generation Cartesian loop transmitter for fl exible radio solutions by C.N. Wilson and J.M. Gibbins, Applied Technology, UK The concept software defined radio (SDR) is much

More information

BANDPASS delta sigma ( ) modulators are used to digitize

BANDPASS delta sigma ( ) modulators are used to digitize 680 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 10, OCTOBER 2005 A Time-Delay Jitter-Insensitive Continuous-Time Bandpass 16 Modulator Architecture Anurag Pulincherry, Michael

More information

Optimizing the Performance of Very Wideband Direct Conversion Receivers

Optimizing the Performance of Very Wideband Direct Conversion Receivers Optimizing the Performance of Very Wideband Direct Conversion Receivers Design Note 1027 John Myers, Michiel Kouwenhoven, James Wong, Vladimir Dvorkin Introduction Zero-IF receivers are not new; they have

More information

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Introduction This article covers an Agilent EEsof ADS example that shows the simulation of a directconversion,

More information

Geng Ye U. N. Carolina at Charlotte

Geng Ye U. N. Carolina at Charlotte Linearization Conditions for Two and Four Stage Circuit Topologies Including Third Order Nonlinearities Thomas P. Weldon tpweldon@uncc.edu Geng Ye gye@uncc.edu Raghu K. Mulagada rkmulaga@uncc.edu Abstract

More information

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Noise is an unwanted signal. In communication systems, noise affects both transmitter and receiver performance. It degrades

More information

Improving Amplitude Accuracy with Next-Generation Signal Generators

Improving Amplitude Accuracy with Next-Generation Signal Generators Improving Amplitude Accuracy with Next-Generation Signal Generators Generate True Performance Signal generators offer precise and highly stable test signals for a variety of components and systems test

More information

INCREASING MULTI-TONE POWER NEAR SATURATION Allen Katz Linearizer Technology Inc.

INCREASING MULTI-TONE POWER NEAR SATURATION Allen Katz Linearizer Technology Inc. INCREASING MULTI-TONE POWER NEAR SATURATION Allen Katz Linearizer Technology Inc. ABSTRACT Microwave high power amplifiers, particularly TWTAs and klystrons are increasingly being used for the transmission

More information

HF Receivers, Part 2

HF Receivers, Part 2 HF Receivers, Part 2 Superhet building blocks: AM, SSB/CW, FM receivers Adam Farson VA7OJ View an excellent tutorial on receivers NSARC HF Operators HF Receivers 2 1 The RF Amplifier (Preamp)! Typical

More information

Noise and Distortion in Microwave System

Noise and Distortion in Microwave System Noise and Distortion in Microwave System Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 1 Introduction Noise is a random process from many sources: thermal,

More information

Modelling and Compensation of Power Amplifier Distortion for LTE Signals using Artificial Neural Networks

Modelling and Compensation of Power Amplifier Distortion for LTE Signals using Artificial Neural Networks INFOTEH-JAHORINA Vol. 14, March 2015. Modelling and Compensation of Power Amplifier Distortion for LTE Signals using Artificial Neural Networks Ana Anastasijević, Nataša Nešković, Aleksandar Nešković Department

More information

Linearity Improvement Techniques for Wireless Transmitters: Part 1

Linearity Improvement Techniques for Wireless Transmitters: Part 1 From May 009 High Frequency Electronics Copyright 009 Summit Technical Media, LLC Linearity Improvement Techniques for Wireless Transmitters: art 1 By Andrei Grebennikov Bell Labs Ireland In modern telecommunication

More information

Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers.

Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers. Title: New High Efficiency Intermodulation Cancellation Technique for Single Stage Amplifiers. By: Ray Gutierrez Micronda LLC email: ray@micronda.com February 12, 2008. Introduction: This article provides

More information

THE BASICS OF RADIO SYSTEM DESIGN

THE BASICS OF RADIO SYSTEM DESIGN THE BASICS OF RADIO SYSTEM DESIGN Mark Hunter * Abstract This paper is intended to give an overview of the design of radio transceivers to the engineer new to the field. It is shown how the requirements

More information

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY 11788 hhausman@miteq.com Abstract Microwave mixers are non-linear devices that are used to translate

More information

IMPROVEMENTS TO FM AND IBOC SIGNAL QUALITY THROUGH THE USE OF PRE-EQUALIZATION

IMPROVEMENTS TO FM AND IBOC SIGNAL QUALITY THROUGH THE USE OF PRE-EQUALIZATION IMPROVEMENTS TO FM AND IBOC SIGNAL QUALITY THROUGH THE USE OF PRE-EQUALIZATION Mike Woods Nautel Maine Inc. Bangor, Maine ABSTRACT FM HD Radio transmission, whether pure digital or hybrid (FM+HD), requires

More information

TSEK38 Radio Frequency Transceiver Design: Project work B

TSEK38 Radio Frequency Transceiver Design: Project work B TSEK38 Project Work: Task specification A 1(15) TSEK38 Radio Frequency Transceiver Design: Project work B Course home page: Course responsible: http://www.isy.liu.se/en/edu/kurs/tsek38/ Ted Johansson (ted.johansson@liu.se)

More information

Institutionen för systemteknik

Institutionen för systemteknik Institutionen för systemteknik Department of Electrical Engineering Examensarbete DIGITAL TECHNIQUES FOR COMPENSATION OF THE RADIO FREQUENCY IMPAIRMENTS IN MOBILE COMMUNICATION TERMINALS Master Thesis

More information

Local Oscillator Phase Noise and its effect on Receiver Performance C. John Grebenkemper

Local Oscillator Phase Noise and its effect on Receiver Performance C. John Grebenkemper Watkins-Johnson Company Tech-notes Copyright 1981 Watkins-Johnson Company Vol. 8 No. 6 November/December 1981 Local Oscillator Phase Noise and its effect on Receiver Performance C. John Grebenkemper All

More information

Successful Modulation Analysis in 3 Steps. Ben Zarlingo Application Specialist Agilent Technologies Inc. January 22, 2014

Successful Modulation Analysis in 3 Steps. Ben Zarlingo Application Specialist Agilent Technologies Inc. January 22, 2014 Successful Modulation Analysis in 3 Steps Ben Zarlingo Application Specialist Agilent Technologies Inc. January 22, 2014 Agilent Technologies, Inc. 2014 This Presentation Focus on Design, Validation, Troubleshooting

More information

Laboratory Assignment 5 Amplitude Modulation

Laboratory Assignment 5 Amplitude Modulation Laboratory Assignment 5 Amplitude Modulation PURPOSE In this assignment, you will explore the use of digital computers for the analysis, design, synthesis, and simulation of an amplitude modulation (AM)

More information

Digital predistortion with bandwidth limitations for a 28 nm WLAN ac transmitter

Digital predistortion with bandwidth limitations for a 28 nm WLAN ac transmitter Digital predistortion with bandwidth limitations for a 28 nm WLAN 802.11ac transmitter Ted Johansson, Oscar Morales Chacón Linköping University, Linköping, Sweden Tomas Flink Catena Wireless Electronics

More information

Agilent Highly Accurate Amplifier ACLR and ACPR Testing with the Agilent N5182A MXG Vector Signal Generator. Application Note

Agilent Highly Accurate Amplifier ACLR and ACPR Testing with the Agilent N5182A MXG Vector Signal Generator. Application Note Agilent Highly Accurate Amplifier ACLR and ACPR Testing with the Agilent N5182A MXG Vector Signal Generator Application Note Introduction 1 0 0 1 Symbol encoder I Q Baseband filters I Q IQ modulator Other

More information

Introduction. In the frequency domain, complex signals are separated into their frequency components, and the level at each frequency is displayed

Introduction. In the frequency domain, complex signals are separated into their frequency components, and the level at each frequency is displayed SPECTRUM ANALYZER Introduction A spectrum analyzer measures the amplitude of an input signal versus frequency within the full frequency range of the instrument The spectrum analyzer is to the frequency

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Laboratory Manual 2, MSPS. High-Level System Design

Laboratory Manual 2, MSPS. High-Level System Design No Rev Date Repo Page 0002 A 2011-09-07 MSPS 1 of 16 Title High-Level System Design File MSPS_0002_LM_matlabSystem_A.odt Type EX -- Laboratory Manual 2, Area MSPS ES : docs : courses : msps Created Per

More information

A Mirror Predistortion Linear Power Amplifier

A Mirror Predistortion Linear Power Amplifier A Mirror Predistortion Linear Power Amplifier Khaled Fayed 1, Amir Zaghloul 2, 3, Amin Ezzeddine 1, and Ho Huang 1 1. AMCOM Communications Inc., Gaithersburg, MD 2. U.S. Army Research Laboratory 3. Virginia

More information

ECE5713 : Advanced Digital Communications

ECE5713 : Advanced Digital Communications ECE5713 : Advanced Digital Communications Bandpass Modulation MPSK MASK, OOK MFSK 04-May-15 Advanced Digital Communications, Spring-2015, Week-8 1 In-phase and Quadrature (I&Q) Representation Any bandpass

More information

Using a design-to-test capability for LTE MIMO (Part 1 of 2)

Using a design-to-test capability for LTE MIMO (Part 1 of 2) Using a design-to-test capability for LTE MIMO (Part 1 of 2) System-level simulation helps engineers gain valuable insight into the design sensitivities of Long Term Evolution (LTE) Multiple-Input Multiple-Output

More information

Real-Time Digital Down-Conversion with Equalization

Real-Time Digital Down-Conversion with Equalization Real-Time Digital Down-Conversion with Equalization February 20, 2019 By Alexander Taratorin, Anatoli Stein, Valeriy Serebryanskiy and Lauri Viitas DOWN CONVERSION PRINCIPLE Down conversion is basic operation

More information

The New Load Pull Characterization Method for Microwave Power Amplifier Design

The New Load Pull Characterization Method for Microwave Power Amplifier Design IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 The New Load Pull Characterization Method for Microwave Power Amplifier

More information

Third-Method Narrowband Direct Upconverter for the LF / MF Bands

Third-Method Narrowband Direct Upconverter for the LF / MF Bands Third-Method Narrowband Direct Upconverter for the LF / MF Bands Introduction Andy Talbot G4JNT February 2016 Previous designs for upconverters from audio generated from a soundcard to RF have been published

More information

Payload measurements with digital signals. Markus Lörner, Product Management Signal Generation Dr. Susanne Hirschmann, Signal Processing Development

Payload measurements with digital signals. Markus Lörner, Product Management Signal Generation Dr. Susanne Hirschmann, Signal Processing Development Payload measurements with digital signals Markus Lörner, Product Management Signal Generation Dr. Susanne Hirschmann, Signal Processing Development Agenda ı Why test with modulated signals? ı Test environment

More information

Introduction to Receivers

Introduction to Receivers Introduction to Receivers Purpose: translate RF signals to baseband Shift frequency Amplify Filter Demodulate Why is this a challenge? Interference Large dynamic range required Many receivers must be capable

More information

Exploring Trends in Technology and Testing in Satellite Communications

Exploring Trends in Technology and Testing in Satellite Communications Exploring Trends in Technology and Testing in Satellite Communications Aerospace Defense Symposium Giuseppe Savoia Keysight Technologies Agenda Page 2 Evolving military and commercial satellite communications

More information

Composite Adaptive Digital Predistortion with Improved Variable Step Size LMS Algorithm

Composite Adaptive Digital Predistortion with Improved Variable Step Size LMS Algorithm nd Information Technology and Mechatronics Engineering Conference (ITOEC 6) Composite Adaptive Digital Predistortion with Improved Variable Step Size LMS Algorithm Linhai Gu, a *, Lu Gu,b, Jian Mao,c and

More information

LINEARIZATION OF SALEH, GHORBANI AND RAPP AMPLIFIERS WITH DOHERTY TECHNIQUE

LINEARIZATION OF SALEH, GHORBANI AND RAPP AMPLIFIERS WITH DOHERTY TECHNIQUE LINEARIZATION OF SALEH, GHORBANI AND RAPP AMPLIFIERS WITH DOHERTY TECHNIQUE Abhinay Yadav 1, *Dipayan Mazumdar B. R. Karthikeyan 3, Govind R. Kadambi 4 1 Student, M. Sc. [Engg.], Senior Lecturer, 3 Asstiant

More information

Understanding RF and Microwave Analysis Basics

Understanding RF and Microwave Analysis Basics Understanding RF and Microwave Analysis Basics Kimberly Cassacia Product Line Brand Manager Keysight Technologies Agenda µw Analysis Basics Page 2 RF Signal Analyzer Overview & Basic Settings Overview

More information

PROPAGATION CHANNEL EMULATOR : ECP

PROPAGATION CHANNEL EMULATOR : ECP PROPAGATION CHANNEL EMULATOR : ECP The ECP (Propagation Channel Emulator) synthesizes the principal phenomena of propagation occurring on RF signal links between earth and space. Developed by the R&D laboratory,

More information

LTE: System Specifications and Their Impact on RF & Base Band Circuits Application Note

LTE: System Specifications and Their Impact on RF & Base Band Circuits Application Note LTE: System Specifications and Their Impact on RF & Base Band Circuits Application Note Products: R&S FSW R&S SMU R&S SFU R&S FSV R&S SMJ R&S FSUP RF physical layer specifications (such as 3GPP TS36.104)

More information

An Investigation into the Effects of Sampling on the Loop Response and Phase Noise in Phase Locked Loops

An Investigation into the Effects of Sampling on the Loop Response and Phase Noise in Phase Locked Loops An Investigation into the Effects of Sampling on the Loop Response and Phase oise in Phase Locked Loops Peter Beeson LA Techniques, Unit 5 Chancerygate Business Centre, Surbiton, Surrey Abstract. The majority

More information

An Improved Pre-Distortion Algorithm Based On Indirect Learning Architecture for Nonlinear Power Amplifiers Wei You, Daoxing Guo, Yi Xu, Ziping Zhang

An Improved Pre-Distortion Algorithm Based On Indirect Learning Architecture for Nonlinear Power Amplifiers Wei You, Daoxing Guo, Yi Xu, Ziping Zhang 6 nd International Conference on Mechanical, Electronic and Information Technology Engineering (ICMITE 6) ISBN: 978--6595-34-3 An Improved Pre-Distortion Algorithm Based On Indirect Learning Architecture

More information

FLASH rf gun. beam generated within the (1.3 GHz) RF gun by a laser. filling time: typical 55 μs. flat top time: up to 800 μs

FLASH rf gun. beam generated within the (1.3 GHz) RF gun by a laser. filling time: typical 55 μs. flat top time: up to 800 μs The gun RF control at FLASH (and PITZ) Elmar Vogel in collaboration with Waldemar Koprek and Piotr Pucyk th FLASH Seminar at December 19 2006 FLASH rf gun beam generated within the (1.3 GHz) RF gun by

More information

International ejournals

International ejournals ISSN 2249 5460 Available online at www.internationalejournals.com International ejournals International ejournal of Mathematics and Engineering 223 (2013) 2190 2194 Linearizing High Power Amplifiers for

More information

Non-linear Control. Part III. Chapter 8

Non-linear Control. Part III. Chapter 8 Chapter 8 237 Part III Chapter 8 Non-linear Control The control methods investigated so far have all been based on linear feedback control. Recently, non-linear control techniques related to One Cycle

More information

Recap of Last 2 Classes

Recap of Last 2 Classes Recap of Last 2 Classes Transmission Media Analog versus Digital Signals Bandwidth Considerations Attentuation, Delay Distortion and Noise Nyquist and Shannon Analog Modulation Digital Modulation What

More information

Outline. Communications Engineering 1

Outline. Communications Engineering 1 Outline Introduction Signal, random variable, random process and spectra Analog modulation Analog to digital conversion Digital transmission through baseband channels Signal space representation Optimal

More information

The Digital Linear Amplifier

The Digital Linear Amplifier The Digital Linear Amplifier By Timothy P. Hulick, Ph.D. 886 Brandon Lane Schwenksville, PA 19473 e-mail: dxyiwta@aol.com Abstract. This paper is the second of two presenting a modern approach to Digital

More information

EE3723 : Digital Communications

EE3723 : Digital Communications EE3723 : Digital Communications Week 8-9: Bandpass Modulation MPSK MASK, OOK MFSK 04-May-15 Muhammad Ali Jinnah University, Islamabad - Digital Communications - EE3723 1 In-phase and Quadrature (I&Q) Representation

More information

Measuring Non-linear Amplifiers

Measuring Non-linear Amplifiers Measuring Non-linear Amplifiers Transceiver Components & Measuring Techniques MM3 Jan Hvolgaard Mikkelsen Radio Frequency Integrated Systems and Circuits Division Aalborg University 27 Agenda Non-linear

More information

ELT Receiver Architectures and Signal Processing Fall Mandatory homework exercises

ELT Receiver Architectures and Signal Processing Fall Mandatory homework exercises ELT-44006 Receiver Architectures and Signal Processing Fall 2014 1 Mandatory homework exercises - Individual solutions to be returned to Markku Renfors by email or in paper format. - Solutions are expected

More information

Feedback Linearization of RF Power Amplifier for TETRA Standard

Feedback Linearization of RF Power Amplifier for TETRA Standard Buletin Teknik Elektro dan Informatika (Bulletin of Electrical Engineering and Informatics) Vol. 3, No. 3, September 2014, pp. 161~172 ISSN: 2089-3191 161 Feedback Linearization of RF Power Amplifier for

More information

High Dynamic Range Receiver Parameters

High Dynamic Range Receiver Parameters High Dynamic Range Receiver Parameters The concept of a high-dynamic-range receiver implies more than an ability to detect, with low distortion, desired signals differing, in amplitude by as much as 90

More information

Advances in RF and Microwave Measurement Technology

Advances in RF and Microwave Measurement Technology 1 Advances in RF and Microwave Measurement Technology Rejwan Ali Marketing Engineer NI Africa and Oceania New Demands in Modern RF and Microwave Test In semiconductor and wireless, technologies such as

More information

Transceiver Architectures (III)

Transceiver Architectures (III) Image-Reject Receivers Transceiver Architectures (III) Since the image and the signal lie on the two sides of the LO frequency, it is possible to architect the RX so that it can distinguish between the

More information

Solving Peak Power Problems in Orthogonal Frequency Division Multiplexing

Solving Peak Power Problems in Orthogonal Frequency Division Multiplexing Solving Peak Power Problems in Orthogonal Frequency Division Multiplexing Ashraf A. Eltholth *, Adel R. Mekhail *, A. Elshirbini *, M. I. Dessouki and A. I. Abdelfattah * National Telecommunication Institute,

More information

New Methods for HD Radio Crest Factor Reduction and Pre-correction

New Methods for HD Radio Crest Factor Reduction and Pre-correction New Methods for HD Radio Crest Factor Reduction and Pre-correction Featuring GatesAir s April 12, 2015 NAB Show 2015 Tim Anderson Radio Product & Business Development Manager Kevin Berndsen Senior Signal

More information

Efficiently simulating a direct-conversion I-Q modulator

Efficiently simulating a direct-conversion I-Q modulator Efficiently simulating a direct-conversion I-Q modulator Andy Howard Applications Engineer Agilent Eesof EDA Overview An I-Q or vector modulator is a commonly used integrated circuit in communication systems.

More information

WIRELESS TRANSCEIVER DESIGN

WIRELESS TRANSCEIVER DESIGN WIRELESS TRANSCEIVER DESIGN Mastering the Design of Modern Wireiess Equipment and Systems Ariel Luzzatto and Gadi Shirazi BICINTINHIAl ;I807J \ WILEY \ J2O07! ül,,, r BICINTINNIAL John Wiley & Sons, Ltd

More information

Quadrature Upconverter for Optical Comms subcarrier generation

Quadrature Upconverter for Optical Comms subcarrier generation Quadrature Upconverter for Optical Comms subcarrier generation Andy Talbot G4JNT 2011-07-27 Basic Design Overview This source is designed for upconverting a baseband I/Q source such as from SDR transmitter

More information

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Test & Measurement Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Modern radar systems serve a broad range of commercial, civil, scientific and military applications.

More information

Advances in RF and Microwave Measurement Technology

Advances in RF and Microwave Measurement Technology 1 Advances in RF and Microwave Measurement Technology Chi Xu Certified LabVIEW Architect Certified TestStand Architect New Demands in Modern RF and Microwave Test In semiconductor and wireless, technologies

More information

Wideband Receiver for Communications Receiver or Spectrum Analysis Usage: A Comparison of Superheterodyne to Quadrature Down Conversion

Wideband Receiver for Communications Receiver or Spectrum Analysis Usage: A Comparison of Superheterodyne to Quadrature Down Conversion A Comparison of Superheterodyne to Quadrature Down Conversion Tony Manicone, Vanteon Corporation There are many different system architectures which can be used in the design of High Frequency wideband

More information

Technical Article A DIRECT QUADRATURE MODULATOR IC FOR 0.9 TO 2.5 GHZ WIRELESS SYSTEMS

Technical Article A DIRECT QUADRATURE MODULATOR IC FOR 0.9 TO 2.5 GHZ WIRELESS SYSTEMS Introduction As wireless system designs have moved from carrier frequencies at approximately 9 MHz to wider bandwidth applications like Personal Communication System (PCS) phones at 1.8 GHz and wireless

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics C5 - Synchronous demodulation» AM and FM demodulation» Coherent demodulation» Tone decoders AY 2015-16 19/03/2016-1

More information

A Product Development Flow for 5G/LTE Envelope Tracking Power Amplifiers, Part 2

A Product Development Flow for 5G/LTE Envelope Tracking Power Amplifiers, Part 2 Test & Measurement A Product Development Flow for 5G/LTE Envelope Tracking Power Amplifiers, Part 2 ET and DPD Enhance Efficiency and Linearity Figure 12: Simulated AM-AM and AM-PM response plots for a

More information

Postprint. This is the accepted version of a paper presented at IEEE International Microwave Symposium, Hawaii.

Postprint.  This is the accepted version of a paper presented at IEEE International Microwave Symposium, Hawaii. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at IEEE International Microwave Symposium, Hawaii. Citation for the original published paper: Khan, Z A., Zenteno,

More information

PHASELOCK TECHNIQUES INTERSCIENCE. Third Edition. FLOYD M. GARDNER Consulting Engineer Palo Alto, California A JOHN WILEY & SONS, INC.

PHASELOCK TECHNIQUES INTERSCIENCE. Third Edition. FLOYD M. GARDNER Consulting Engineer Palo Alto, California A JOHN WILEY & SONS, INC. PHASELOCK TECHNIQUES Third Edition FLOYD M. GARDNER Consulting Engineer Palo Alto, California INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION CONTENTS PREFACE NOTATION xvii xix 1 INTRODUCTION 1 1.1

More information

3D Distortion Measurement (DIS)

3D Distortion Measurement (DIS) 3D Distortion Measurement (DIS) Module of the R&D SYSTEM S4 FEATURES Voltage and frequency sweep Steady-state measurement Single-tone or two-tone excitation signal DC-component, magnitude and phase of

More information

Agilent Vector Signal Analysis Basics. Application Note

Agilent Vector Signal Analysis Basics. Application Note Agilent Vector Signal Analysis Basics Application Note Table of Contents Vector signal Analysis 3 VSA measurement advantages 4 VSA measurement concepts and theory of operation 6 Data windowing leakage

More information

Speech, music, images, and video are examples of analog signals. Each of these signals is characterized by its bandwidth, dynamic range, and the

Speech, music, images, and video are examples of analog signals. Each of these signals is characterized by its bandwidth, dynamic range, and the Speech, music, images, and video are examples of analog signals. Each of these signals is characterized by its bandwidth, dynamic range, and the nature of the signal. For instance, in the case of audio

More information

Baseband Compensation Techniques for Bandpass Nonlinearities

Baseband Compensation Techniques for Bandpass Nonlinearities Baseband Compensation Techniques for Bandpass Nonlinearities Ali Behravan PSfragand replacements Thomas Eriksson Communication Systems Group, Department of Signals and Systems, Chalmers University of Technology,

More information

A Compatible Double Sideband/Single Sideband/Constant Bandwidth FM Telemetry System for Wideband Data

A Compatible Double Sideband/Single Sideband/Constant Bandwidth FM Telemetry System for Wideband Data A Compatible Double Sideband/Single Sideband/Constant Bandwidth FM Telemetry System for Wideband Data Item Type text; Proceedings Authors Frost, W. O.; Emens, F. H.; Williams, R. Publisher International

More information

CHAPTER 4 DEVELOPMENT AND PERFORMANCE ANALYSIS OF LINEARIZATION TECHNIQUES

CHAPTER 4 DEVELOPMENT AND PERFORMANCE ANALYSIS OF LINEARIZATION TECHNIQUES 71 CHAPTER 4 DEVELOPMENT AND PERFORMANCE ANALYSIS OF LINEARIZATION TECHNIQUES 4.1 Introduction The comparison of existing linearization techniques show that DPD technique can be of main concern due to

More information

Keysight Technologies NB-IoT System Modeling: Simple Doesn t Mean Easy

Keysight Technologies NB-IoT System Modeling: Simple Doesn t Mean Easy Keysight Technologies NB-IoT System Modeling: Simple Doesn t Mean Easy Device things Must be simulated Before Cloud White Paper Abstract This paper presents a method for modeling and evaluating a new NB-IoT

More information

Understanding Low Phase Noise Signals. Presented by: Riadh Said Agilent Technologies, Inc.

Understanding Low Phase Noise Signals. Presented by: Riadh Said Agilent Technologies, Inc. Understanding Low Phase Noise Signals Presented by: Riadh Said Agilent Technologies, Inc. Introduction Instabilities in the frequency or phase of a signal are caused by a number of different effects. Each

More information

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc.

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc. Transceiver and System Design for Digital Communications Scott R. Bullock, P.E. Third Edition B SCITEQ PUBLISHtN^INC. SciTech Publishing, Inc. Raleigh, NC Contents Preface xvii About the Author xxiii Transceiver

More information