MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING

Size: px
Start display at page:

Download "MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING"

Transcription

1 5 th International Advanced Technologies Symposium (IATS 09), May 13-15, 2009, Karabuk, Turkey MICROPROCESSORS LEAKAGE POWER REDUCTION USING DUAL SUPPLY VOLTAGE SCALING Diary R. Sulaiman Electrical Engineering Department, Engineering College, Salahaddin University, Erbil, IRAQ Abstract Power efficient design is one of the most important goals for microprocessors, especially in the design of portable, notebook, and handheld computers. In the new high performance designs the static or leakage power is expected to increase because of the exponential increase in leakage currents with technology scaling. The International Technology Roadmap for Semiconductors (ITRS) predicts that leakage power would contribute to 50% of the total power in the next generation processors. Therefore, it is important for system designers to get an early estimate of leakage power to meet the challenging and methodologies for power dissipation reduction. This paper presents a hardware design and implementation for microprocessors leakage power reduction using dual supply voltage scaling, and it can be considered as an effective mechanism for reducing processors power and energy while preserving performance by scaling the supply voltage at runtime depending on the workload variation. SPICE simulation program is used to verify the theoretical idea and confirm the hardware operations. Keywords: Dual supply voltage scaling. 1. Introduction Power dissipation reduction, as mentioned is an important consideration in the design of microprocessors, especially battery-powered portable devices, and emerges as a key technology in the VLSI system design. The high power dissipation results in increased packaging and cooling costs as well as potential reliability problems. Then, the low power design is required for a battery-powered device to extending the battery service life, while meeting performance requirements [1]. Today most digital circuits are constructed using CMOS circuits, especially processors, therefore the analysis of leakage power dissipation in CMOS circuits is essential to find out the sources of power dissipation, and the elements of each source with its influences. There are three sources of power dissipation in CMOS circuits. The total power dissipation of a CMOS circuit can be expressed as [2], P + total = Ps + Pd Psc (1) Where, P s is the static power dissipation, P d is the dynamic power dissipation, P sc is the short circuit power dissipation. P d is the dominant component of the P total in the current manufacturing technologies which include P sc also. For 0.18µm technology at 100 C, leakage energy dissipation is estimated to be 7% of the total energy dissipation, meaning that the rest 93% is dynamic energy dissipation. As the technology scales down, for the same die in a smaller technology, at the same temperature level static energy dissipation percentage is expected to go up as much as 50% in the future technology generation [3]. Figure 1 shows the power dissipation components of a typical CMOS inverter, Figure 1: Power dissipation components of a typical CMOS inverter - P s can be expressed by the equation, n P = Leakage Current SupplyVoltage (2) s * 1 When the transistors of the CMOC inverter above are all off, there is still some energy dissipation occurs in the circuit because of the leakage current passing through the transistors, this leakage current can be expressed by [4], qv / KT I = i e( 1) (3) leakage s Where, i s = reverse saturation current, V = diode voltage, q = electronic charge, k = Boltzmann s constant (1.38x10 23 J/K), T = temperature [5]. Static energy dissipation is the product of the leakage current and the supply voltage from which the leakage current is drawn. - P d can be expressed by the equation, P 2 d = CL Vdd f clk (4) Where, C L is the collective load capacitance, V dd is the supply voltage, and f clk is the clock frequency. When any transistor in the CMOS circuit makes a transition, the capacitances on its nodes are either charged or discharged causes dynamic energy dissipation. This dynamic energy dissipation directly depends on the sizes of capacitances on the terminals of the transistors [2,5]. IATS 09, Karabük University, Karabük, Turkey

2 - P sc can be expressed by the equation, t P ) rf scα ( Vdd 2V t (5) t p transistor channel because of the V dd potential on the V DS. Figure 3 shows the variation of the drain current of an NMOS transistor as a function of the gate voltage in 0.18µm technology [8]. Where, V t is the threshold voltage, t rf is the rise time or fall time, t p is the period of the input waveform. P sc is occurs when both the pull-up and pull-down transistors of a CMOS gate are simultaneously on. There are three main techniques to reduce static or leakage power dissipation in microprocessors CMOS building blocks, design and implementation of low leakage transistors, dynamic threshold modulation, and finally using dual supply voltage scaling [4,5]. During the five years ago, there are many subjects related to the topic, H. Qin in [6] investigate the voltage limit of SRAM standby operation for Low Leakage Standby Operation, M. A. Sheets in [7] presents a structured methodology and architecture for the implementation and control of power domains to form a power managed system. This paper presents additional hardware design for microprocessors system to reduce leakage power using dual supply voltage scaling at runtime depending on the workload variation; SPICE simulation program shows satisfactory results. 2. Leakage Power Analysis In high performance portable, handheld, and notebook computer architectures, the leakage component of total consumed power was increased with technology scaling, which exceeds 50% of the total consumed power as ITRS indicated [3]. There are four leakage mechanisms contribute to the total leakage in a CMOS inverter as shown in figure 2, Figure 2: The four main leakage components in an NMOS transistor These four leakage current components are: - Subthreshold leakage current (I SUB) - Gate direct tunneling leakage current (I GATE) - Reverse biased leakage current (I REV) - Gate induced drain leakage current (I GIDL) The subthreshold leakage current (I SUB) is the main part in the four components above due to the diffusion current of the minority carriers in the channel for a MOS device. For a low input voltage in a CMOS inverter shown in figure 1, the output will be high, in this case V GS is 0, but there is still a subthreshold leakage current passing in the NMOS Figure 3: The drain current of a n-type MOSFET as a function of the V GS The magnitude of the subthreshold current is a function of both process, device sizing (W/L), and supply voltage V dd [9]. The process parameter that predominantly affects the current value is V t. Reducing V t exponentially increases the subthreshold current, which is proportional to V DS, or equivalently, V dd. Gate direct tunneling leakage current (I GATE) results from the Fowler-Nordheim tunneling of electrons into the conduction band of the oxide layer under a high applied electric field across the oxide layer. I GATE depending on the tunneling probability function and the number of tunneling carriers. The magnitudes of the gate direct tunneling current increases exponentially with the gate oxide thickness T ox and supply voltage V dd. As transistor length and gate oxide thickness are scaled down supply voltage must also be reduced to maintain effective gate control over the channel region. Reverse biased leakage current (I REV) occurs from the source or drain to the substrate through the reverse-biased diodes when a transistor is OFF. For an inverter with low input voltage the NMOS is OFF, the PMOS is ON, and the output voltage is high. Then, the drain-to-substrate voltage of the OFF NMOS transistor is equal to the supply voltage. This cause a leakage current from the drain to the substrate through the reverse-biased diode. The magnitude of this leakage current depends on the area of the drain diffusion and the leakage current density, which is in turn determined by the doping concentration [10]. Gate induced drain leakage current (I GIDL) is caused by high field effect in the drain junction of MOS transistors. For an NMOS transistor with grounded gate and drain potential at V dd. The I GIDL is made worse by high drain to body voltage and high drain to gate voltage. I GIDL Magnitude increases as thinner oxide and supply voltage increase. Figure 4 shows the amount leakage power prediction of the total power for the next generation CMOS technology.

3 Where, k is function of the technology, V T is the thermal voltage, V t is the threshold voltage, V GS is the gate to source voltage, V DS is the drain to source voltage, and η is the subthreshold swing coefficient [8]. Figure 4: Leakage & total power Vs. CMOS technology scaling Then, the reduction of leakage power can significantly reduce the total power dissipated in CMOS VLSI circuits. Also, many times a large number of CMOS circuits spend a long time in a standby mode where the leakage power is the only source of power consumption. 3. Subthreshold leakage current (ISUB) Leakage power consumption has become an important factor in the design of high performance portable, handheld, and notebook processors. Process scaling has resulted in a continual reduction in the supply voltage to reduce the total power consumption and maintain circuit performance. The subthreshold leakage power trends is shown in figure 5 [11]. It is clear from the equation 6, that the reduction of threshold voltage by 100 mv increases the subthreshold leakage current by a factor of 10. Decreasing the length of transistors increases the subthreshold leakage current as well. Therefore, in a chip, transistors that have smaller threshold voltage and/or length due to process variation contribute more to the overall leakage. Although the leakage current was important in systems with both active and inactive periods [13]. So, the subthreshold leakage current reduction is a critical design concern in any system in today s designs because it increases UPS or battery lifetime, reducing temperature, decreasing the production cost, and extended the IC lifetime. Dual supply voltage scaling is an effective technique to reduce subthreshold current, leakage power, dynamic power (equation 4), and then the total power consumption in CMOS integrated circuits, especially processors at standby and varied workload condition using high threshold transistors called sleep transistors. As the supply adjusted between the two values V ddh and V ddl based on the workload prediction to complete the specific task with a targeted latency. Adjusting the supply voltage restricts the operating frequency accordingly because, f ( V V ) 2 dd t clk = (7) Vdd Meaning that changes in frequency are accompanied by appropriate adjustment in voltage. Figure 6, shows the reduction of the leakage power, dynamic power, and total power dissipation depending on the supply voltage adjustments [14], Figure 5: Subthreshold Leakage power trends The subthreshold leakage current is the current that is conducted through a transistor from its source to drain when the device is intended to be off. Because of the dramatic increase in subthreshold current as shown in figure 5, static power consumption is now one of the primary issues in deep submicron design and can account for as much as 50% of the total power dissipation for today s processors. Then the subthreshold leakage power has become the dominant factor in the total power dissipation and battery life time due to the growing difficulty in controlling the device dimensions and characteristics [12]. In the current CMOS technologies subthreshold leakage current is much larger than the other three leakage current components because of the lower threshold voltage and increasing short channel and drain induced barrier lowering effects, and is can be expressed by the equation, I sub = k V VGS Vt VDS 2 η VT V T e (1 e T ) (6) Figure 6: Leakage power, dynamic power, and total power dissipation with supply voltage (V dd) adjustment for a fixed clock frequency (f clk) 4. Dual Supply Voltage Scaling Microprocessors with dual supply voltages scaling technique have the dramatic effect on power consumption reduction when a specific computer system is in consideration; this technique can significantly reduce dissipated power without degrading speed by selectively

4 lower supply voltage along non-critical delay paths or light workloads and higher supply voltage among heavy workloads. This work focuses on dual supply voltage usage. However, the same technique can be used in more than two supply voltage designs as well. The main problem of designing dual supply voltage scaling in CMOS circuits is the increased leakage current in the high voltage gates when a low voltage gate is driving a high voltage gate. Figure 7 shows the case when a low voltage inverter is driving a high voltage inverter. [15]. Figure 8: Block diagram of battery powered system To avoid problems of level converter, domino logic, and CVS circuits, the complete circuit of DC-DC converter using buck-converter topology shown in figure 9 is designed, Figure 7: A low voltage inverter driving a high voltage inverter. To solve the problem of increased leakage current additional circuit of level converter is required, but it introduced area, and energy overhead. To reduce level converter problems some researchers proposed clustered voltage scaling (CVS), in such techniques no low voltage gate will drive a high voltage gate [16]. Both of these techniques introduce additional constraints to the dual supply voltage scaling process, and reducing the obtainable energy savings. Domino logic, however, does not require level shifting due to the lack of the PMOS tree in the domino gates, while the necessity to generate and route the additional supply voltages remains [17]. Other researchers introduced gate-level dual supply voltage assignment that requires the use of level shifters when a high voltage gate is driven by a low voltage gate. The level shifting circuitry in the optimized circuits constitutes 8% of the total energy consumption [18]. Battery-powered digital systems which are typically present in portable electronic devices such as cellular phones, notebook, and handheld computers, consist of the microprocessor, the DC/DC converter, voltage controlled oscillator (VCO), supply voltage control unit, and workload predictor as shown in the block diagram shown in figure 8. The control unit of the high frequency DC-DC converter applicable to dual supply voltage CMOS circuits is presented in order to provide two voltage levels with low energy consumption. The workload predictor unit predicts the cycles required for the next program execution tasks by calculating both the idle and event times, and then estimate the workload is it light or heavy to generate a control signal to the Vdd control unit in order to select the high or low supply voltage. The VCO (ring oscillator) converts the output of the DC-DC converter to a clock frequency. The DC-DC converter output with the generated clock frequency is fed to the processor. Figure 9: The complete design of DC-DC converter using buck converter topology The two outputs are connected electronically in the control unit to produce a single output voltage, which is controlled by a wakeup command from the workload predictor to select VO1 or VO2, where the processor workload is divided into light and heavy workloads based on program cycles calculation. The VCO (ring oscillator), which is designed depending on the range of the clock frequency and time delay of one CMOS stage, converts the output of the DC-DC converter to a clock frequency which is fed to the processor [19]. 5. Simulation Results The complete hardware of dual supply voltage scaling of figure 9 has been designed, and then simulated Using SPICE simulator program depending on the A. Thomas measurement platform circuitry shown in figure 10 [20].A sense resistors with a value of 0.01 Ohms is used. The voltage drop measured across the sense resistor is amplified by the LT178 current sense amplifier and sent to the pin labeled output. This voltage can be measured by placing probes at both the output node and the ground node. Figure 10: A. Thomas circuit overview

5 Using A. Thomas measurement platform circuit above the simulation results of table 1&2 are obtained using different Benchmark programs, Table 1: Simulation results of leakage & total power consumption using different benchmark programs. Benchmarks Cycles (10 6 ) Selected Power Supply Leakage Power (W) Total Power (W) ARMARM9E V ddl TIOMAP V ddl APPLU V ddh TURB3D V ddh TOMCATV V ddl HYDRO2D V ddh SWIM V ddl HTELPXA V ddl Table2: Simulation results of energy saving using different Benchmark programs Benchmarks Energy Saving (%) ARMARM9E TIOMAP APPLU TURB3D TOMCATV HYDRO2D SWIM HTELPXA The simulation results of table 1&2 using eight benchmark programs (ARMARM9E, TIOMAP1610, APPLU, TURB3D, HYDRO2D, SWIM,, and HTELPX255) which are used in our tests for measuring leakage power, total power, and energy saving, can be sketched as in the figures 11&12. Figure 11: Simulation results in graphical form of leakage power & total power consumption using different benchmark programs Figure 12: Simulation results in graphical form of energy saving using different benchmark programs. The simulation results shows that, the leakage power consumption is about 41.11% of the total power consumption, and the saved energy is 11.64% for the used benchmark programs. 6. Conclusion This paper introduced a hardware design for microprocessors energy reduction using dual supply voltage scaling technique, which can be considered as an effective mechanism and critical constraint for the current and future microprocessors energy consumption reduction, and can improve the energy efficiency of battery-powered processor systems, especially for portable, handheld, and notebook devices. The fully design of DC-DC converter using buck converter topology restrict the usage and problems of level converter, domino logic, and CVS circuits The SPICE simulation results yields that the leakage power is about 41.11% of the total power consumption, and 11.64% of energy saving is achieved for the used benchmark programs. This technique provides significant reduction in measured system energy consumption, thus significantly extending battery life. Therefore, Dual supply voltage scaling technique is becoming commonplace in high performance microprocessor systems to save power and increase processing speeds. 7. References [1] M. Horowitz, T. Indermaur, and R. Gonzalez, Low- Power Digital Design, IEEE Symposium on Low Power Electronics, pp.8-11, [2] B. H. Calhoun, and A. P. Chandrakasan, Standby Power Reduction Using Dynamic Voltage Scaling and Canary Flip-Flop Structures, IEEE Journal of Solid- State Circuits, Vol. 39, No. 9, September [3] Bose P., Brooks D., Irwin M., Kandemir M., Martonosi M., Vijaykrishnan N., Power-Efficient Design: Modeling and Optimizations, tutorial notes, the International Symposium on Computer Architecture (ISCA-28), Goteborg, Sweden, July [4] Miyatake H., Tanaka M., Mori Y., A design for highspeed low power CMOS fully parallel contentaddressable memory macros, IEEE Journal of Solid- State Circuits, Volume: 36 Issue: 6 V pp , Jun 2001.

6 [5] N.E. Weste, K. Eshraghian, Principles of CMOS VLSI Design, 2nd Edition, Addison Wesley Publishers, [6] H. Qin, Deep Sub-Micron SRAM Design for Ultra-Low Leakage Standby Operation, PhD thesis, University of California, Berkeley, spring [7] M. A. Sheets, Standby Power Management Architecture for Deep-Submicron Systems, PhD thesis, University of California, Berkeley, spring [8] V. Kursum, Supply and Threshold Voltage Scaling Techniques in CMOS Circuits, PhD Thesis, University of Rochester, New York, [9] S. Sze, Physics of Semiconductor Devices, Wiley, New York, [10] Y.Lu and V.D.Agrawal, Statistical Leakage and Timing Optimization for Submicron Process Variation, In Proceedings of 20th International Conference on VLSI Design, [11] B.S. Deepaksubramanyan and A. Nu nez, Analysis of Subthreshold Leakage Reduction in CMOS Digital Circuits, In Proceedings of the 13th NASA VLSI Symposium, Post Falls, Idaho, USA, June, [12] R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, Statistical Analysis of Subthreshold Leakage Current for VLSI Circuits, IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 12, No. 2, pp , February [13] A. Abdollahi, F. Fallah, and M. Pedram, Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits, In Proceedings of Symposium on Low Power Electronics and Design, pp , Aug [14] P.J.M. Havinga, G.J.M. Smit, Design Ttechniques for Low Power Systems, Journal of Systems Architecture, Vol. 46, Issue 1, [15] Y. Cao, T. Sato, M. Orshansky, D. Sylvester, and C. Hu, New paradigm of Predictive MOSFET and Interconnect Modeling for Early Circuit Simulation, IEEE Custom Integrated Circuits Conference Proceedings (CICC 2000), pp [16] J. M. Chang, and M. Pedram, Energy Minimization Using Multiple Supply Voltages, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 5, pp , [17] A. Srivastava, and D. Sylvester, Minimizing Total Power by Simultaneous V dd-v th Assignment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, pp , [18] K. Usami, K. Nogami, M. Igarashi, F. Minami, Y. Kawasaki, T. Ishikawa, M. Kanazawa, T. Aoki, M. Takano, C. Mizuno, M. Ichida, S. Sonoda, M. Takahashi, and N. Hatanaka, Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor, In Proceedings of theieee Custom Integrated Circuits Conference, Santa Clara, CA, USA,. pp , May, [19] R. K. Krishnamurthy, L. R. Carley, Exploring the Design Space of Mixed Swing Quad Rail for Low Power Digital Circuits, IEEE Trans. VLSI Systems, vol. 5, pp , December [20] A. Thomas, A Measurement Platform for DVS Algorithm Development and Analysis, B. Sc. Thesis, University nof Virginia, April, 2003.

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007

Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007 Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No. 80364730 Lamar University 04/2007 1 Table of Contents Section Page Title Page 1 Table of Contents

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Study of Outpouring Power Diminution Technique in CMOS Circuits

Study of Outpouring Power Diminution Technique in CMOS Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Design and Analysis of Low Power Level Shifter in IC Applications

Design and Analysis of Low Power Level Shifter in IC Applications Design and Analysis of Low Power Level Shifter in IC Applications Meenu Singh Priyanka Goyal Ajeet Kumar Yadav ABSTRACT In this paper, level Shifter circuit is analyzed which is efficient for converting

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Design of a Low Voltage Class-AB CMOS Super Buffer Amplifier with Sub Threshold and Leakage Control Rakesh Gupta

Design of a Low Voltage Class-AB CMOS Super Buffer Amplifier with Sub Threshold and Leakage Control Rakesh Gupta Design of a Low Voltage Class-AB CMOS Super Buffer Amplifier with Sub Threshold and Leakage Control Rakesh Gupta Assistant Professor, Electrical and Electronic Department, Uttar Pradesh Technical University,

More information

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal Department of ECE, Auburn University, Auburn, AL 36849, USA kyungkim@auburn.edu,

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Leakage Power Reduction in CMOS VLSI Circuits

Leakage Power Reduction in CMOS VLSI Circuits Leakage Power Reduction in CMOS VLSI Circuits Pushpa Saini M.E. Student, Department of Electronics and Communication Engineering NITTTR, Chandigarh Rajesh Mehra Associate Professor, Department of Electronics

More information

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Chandni jain 1, Shipra mishra 2 1 M.tech. Embedded system & VLSI Design NITM,Gwalior M.P. India 474001 2 Asst Prof. EC Dept.,

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences. UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Discussion #9 EE 05 Spring 2008 Prof. u MOSFETs The standard MOSFET structure is shown

More information

Elements of Low Power Design for Integrated Systems

Elements of Low Power Design for Integrated Systems Elements of Low Power Design for Integrated Systems Sung-Mo (Steve) Kang Univ. of California, Santa Cruz Baskin School of Engineering 1156 High Street, Santa Cruz, CA 9564 kang@soe.ucsc.edu ABSTRACT The

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism

Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism 134 HYOUNG-WOOK LEE et al : POWER-GATING STRUCTURE WITH VIRTUAL POWER-RAIL MONITORING MECHANISM Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism Hyoung-Wook Lee, Hyunjoong Lee, Jong-Kwan

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage

An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique with Minimum Leakage Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (1): 44-48 Research Article ISSN: 2394-658X An Analysis of Novel CMOS Ring Oscillator Using LECTOR Technique

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information