LOW POWER DATA BUS ENCODING & DECODING SCHEMES

Size: px
Start display at page:

Download "LOW POWER DATA BUS ENCODING & DECODING SCHEMES"

Transcription

1 LOW POWER DATA BUS ENCODING & DECODING SCHEMES BY Candy Goyal Isha sood

2 LOW POWER DATA BUS ENCODING & DECODING SCHEMES Candy Goyal Isha Sood ABSTRACT With shrinking feature size and increasing frequency, power dissipation on data bus has become the most predominant factor than the power dissipation in other parts of the circuitry. The large intrinsic capacitance associated with buses is responsible for a substantial fraction (approx 40%) of total power dissipated, because the bus power dissipation is proportional to switching activity. The main disadvantage of the existing power aware encoding schemes such as Bus Invert and Bus Invert Transition Signaling is the extra bus line used to indicate the receiver that the data is encoded or uncoded. A methodology has been proposed in this project to get rid of this extra bus line. To support this claim, detailed implementation of such additional logic is presented. A logical model of data buses is presented and a family of techniques is proposed that can reduce average power consumption of the bus by 34% With new implemented technique defined, we present technique for the synthesis of encoding and decoding interface logic that minimizes the average number of transitions on heavily-loaded global bus lines at no cost in communication throughput. The distinguishing feature of our approach is that it does not rely on designer s intuition, but it automatically constructs low-transition activity codes and hardware implementation of encoders and decoders, given information onward-level statistics. We propose an accurate method that is applicable to low-width buses, as well as approximate methods that scale well with bus width. INTRODUCTION The advent of portable digital devices such as laptop personal computers has made low power CMOS circuit design an increasingly important research area. It has been shown that in CMOS technology a large portion of power dissipation on chip is due to dynamic power consumption. In whole system buses are major culprit for dynamic power dissipation. OFF-CHIP and ON-CHIP global bus lines in very large scale Integrated (VLSI) circuits are generally loaded with large Capacitances, approximately three orders of magnitude larger than the average on-chip interconnects capacitance. As Dynamic power dissipation is directly proportional to the capacitance power consumed by off-chip driving becomes dominant as devices are scaled down, because off-chip capacitance does not depend on process technology, but depends on the package and printed circuit board

3 (PCB) technologies. OFF chip buses accounts 60% of total power dissipation. Further because of shrinkage of technology we are entering a system on chip era and in these applications it is very much important to save the power dissipation of buses. Since the power consumption is proportional to the switching activity, thus reducing the bus switching in an efficient way to reduce the bus power consumption. Based on this some basic techniques are available in literature like BI (bus invert), BITS (bus invert transition signaling) are the most commonly used. But there use is limited because of extra line needed to indicate the receiver that data is encoded or uncoded. The extra bus line used in these coding makes the coding difficult to use in real circuit design because it implies changes to the interface specification of the chip. Also this extra bus line takes extra area also. To get rid from this problem a methodology has been proposed here which reduce the switching activity on the buses without the need of extra bus line and also encoder and decoder has very small hardware which itself consume very less power. In this paper we compared the result of BI and BITS with our new technique and the hardware of new technique is also presented Existing solution of low power data bus encoding and decoding schemes Basic system of data bus encoding lines for data transfer in digital system Figure 1 Basic system of data bus encoding Figure 1 shows the data bus encoding and decoding, here because data lines are always bi-directional [1] so we need encoder and decoder on both the sides, when source wants to transmit a data then it will pass into the encoder, encoder will encode the data so that number switching activity will reduce. And at the other end it will pass through the decoders, which decode data in original form. And we are getting original data at the receiver side with much reduction in switching power.

4 . Proposed Architecture Figure 2 Encoder & Decoder (gray encoding scheme with MSB reference) As shown in Figure 2 the input binary data is converted into gray code. The first graycoded data is sent as it is, through the data bus. Then the MSB of the next gray-coded data is checked. If it is '0', then XOR operation is performed between the lower N-1 bits of the present gray-coded data and the N-1 bits of the previous encoded data. The MSB of the present gray-coded data is sent as it is, along with the output of the XOR. If it is '1', then XNOR operation is performed between the lower N-1 bits of the present graycoded data and the N-1 bits of the previous encoded data. The MSB of the present graycoded data is sent as it is, along with the output of the XNOR. At the decoder side, depending on the status of the MSB of the data received through the data bus, XOR operation is performed between the lower N-1 bits of the previous data received and the lower N-1 bits of the present data through the data bus, if the MSB is '0', else XNOR operation is performed. Finally this gray-coded data is converted in to binary form. As shown in the results this technique saves 24% of the total power dissipation.

5 Figure 3 FFA (Fourth & Fifth bit ANDing) encoder &decoder As shown in Figure 3 the input binary data is converted into gray code and then the data is sent as it is, through the data bus. From the next gray-coded data, AND operation is performed between 4 th and th bits for an 8-bit data. If this operation results in '0', then XOR operation is performed between the remaining six bits of gray-coded data (i.e. Lower three bits and upper three bits) and the six bits (lower three bits and upper three bits) of the previous encoded data. The output of the XOR combined with the 4 th and th bits is sent through the data bus. If the AND operation results '1', then XNOR operation is performed in the same way. At the decoder side, again AND operation is done between 4 th and th bits of the data received through the data bus. If it results in '0', then XOR operation is done between the six bits of the received data and the six bits of the previous received data. The output so received is then converted into binary. As shown in the results this technique saves 40% of the total power dissipation.

6 MODIFIED BITS B B I I N 6th OR OR N A A R R Y AND AND Y 8 8 D A T A XOR 4th NOT M U X XOR NOT M U X D A T A REG REG Figure 4 Encoder & Decoder (MBITS encoding scheme) This is the most useful technique where we get desired results with great reduction in power. In this technique we take three bits for the reference line and we performed various operation on these three bits and finally we came to the technique with oring two bits and then anding it with the third one. Through this technique we get least switching in the data bus. We took the binary data which is to be sent. First is the encoder part. Take three bits (4rth and th ) and perform oring of two bits, then anding with the third bit (6 th ). If we get 0 through anding technique then do XOR previous output with present input except the reference bits which are to be sent as it is without doing any operation. If the reference line is 1 i.e anding operation of the two bit is zero then XNOR previous input with present input except the reference bits. Data which we get after this operation is encoded data ready to be sent through data buses. Through this the encoded output is moved to the decoder through data bus line. In decoder, we check the reference line. If the reference line that is anding & oring operation of the three bits is zero then XOR previous input with present input except the reference bits. If the reference bits output is one then XNOR pevious input with present input except the reference bits. Now we get decoded output. Final step here is to transform gray to the binary output which is the data that we passed in the encoder.

7 Electrical Design Methodology Fully-hierarchical verilog coding in RTL-to-netlist generation by synthesis has been done in this project. To design this project we followed the top-down methodology. Firstly the idea of whole system was made on paper [] and then the system was further divided into hierarchical components which are encoder and decoder. Further these components were divided into the basic components. These encoder and decoder has been designed which can be used where data is being transmitted from source to destination. this encoder will encode the data before placed onto data bus in such a way so that number of transition will reduce hence it will save switching power dissipation depending on the number of switching reduction. And other side decoder will decode the data into original form. These encoder and decoder can be used for off chip data transfer also. It is not a separate chip; it can be a part of the system. The complete power saving result is shown in this paper Power Estimation Figure 4: basic system of data transfer Figure 4 shows the basic system of data transfer on the data lines. Let us assume when switching takes place in any of the bus line it will dissipate P watt power so if in a system switching takes place on the n bus lines then power dissipation is n * P watt and the number of bus lines on which switching takes place can be calculated from the switching activity from the data. So to calculate the switching activity[2] we have taken reference data, which is shown in the table we have applied these data to all the techniques and then power dissipation is calculated and based on that we have shown the result. As we know that the power dissipation is given by: P = α V dd 2 f c (a) where P is the power dissipation without using any technique α = Switching activity V dd =Supply voltage f = Frequency c = Load Capacitance

8 Let P be the power dissipation after using encoding technique P = α V dd 2 f c (b) Now power saving is (P-P ) / P By putting equation a and b in above equation we have Power saving = (α-α ) / α Based on this equation we have calculated the power dissipation on the bus lines by using the following reference data. Reference Data Based on this equation we have calculated the power dissipation on the data bus lines by using the following reference data 0000_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _0100 This reference data has been taken for estimating the power dissipation of the encoder, decoder and bus lines of different techniques and the corresponding results has been shown in the summary given below.

9 Summary of Design Results Table 1: Power consumption S.No Name of the technique P encoder (in nw) P decoder (in nw) 1. BI(bus invert) BITS(bus invert transition signaling MSB (MSB reference) FFA (fourth & fifth bit ANDing) MODIFIED BITS Table 2: Power saving S.No Name of the technique % saving 1. BI(bus invert) BITS(bus invert transition signaling 20 MBITS 3 34 Table 3: Area Over heads S.No Name of the tech Area of encoder in micrometer square 1. BI (Bus Invert) Area of decoder in micrometer square Extra bus line BITS (Bus Invert Transition yes Signaling MSB (MSB Reference) No FFA (Fourth & Fifth bit ANDing) No MBITS NO yes

10 Pow er saving (encoder) Pow er saving (decoder) 3-D Column BI BITS MSB ref. FFA MBITS Figure BI BITS MBITS Figure 6 %SAVING AREA (EN) AREA (DEC) 0 BI BITS MSB ref. FFA MBITS Figure 7

11 Comparision Of Power Saved, Dissipated In The 26 Data With MBITS SAVE NEUTRAL DISSIPATE thBIT REF 3 BIT ANDING 4 BIT ref MBITS Conclusion: Figure 8 We have implemented three new techniques as shown in this paper, these techniques are better than the existing techniques because they do not need the extra bus line from encoder to decoder to indicate the decoder that data is encoded or decoded. Still we are saving 34% of power. It has very less area overhead as compared to the previous techniques. In previous techniques, most of data is unable to save power. And one more significant advantage of this technique is that out of every data only 18% of total data combinations dissipate power which is great achievement that we can't achieve with previous techniques.

12 References: [1] Narrow Bus Encoding for Low Power DSP system IEEE transactions on very Large scale integration (VLSI) systems, VOL9, NO, OCTOBER [2001]. [2] Kushik Roy and Sharat Prasad Low-Power CMOS VLSI Circuit Design [2000]. [3] Power Optimization of core-based Systems by Address Bus Encoding IEEE Transactions on very large scale integration (VLSI) systems, VOL.6, NO, 4December [1998]. [4] Working zone Encoding for reducing the energy in microprocessor address bus IEEE Transactions on very large scale integration (VLSI) systems, VOL.6, NO, 4December [1998]. [] Low Power Techniques for Address Encoding and Memory Allocation IEEE transactions on very large scale integration (VLSI) systems, VOL.6,NO,4June [2001]. [6] Samir Palnitker Verilog HDL [2004].

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog 1 P.Sanjeeva Krishna Reddy, PG Scholar in VLSI Design, 2 A.M.Guna Sekhar Assoc.Professor 1 appireddigarichaitanya@gmail.com,

More information

Bus-Switch Encoding for Power Optimization of Address Bus

Bus-Switch Encoding for Power Optimization of Address Bus May 2006, Volume 3, No.5 (Serial No.18) Journal of Communication and Computer, ISSN1548-7709, USA Haijun Sun 1, Zhibiao Shao 2 (1,2 School of Electronics and Information Engineering, Xi an Jiaotong University,

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

The dynamic power dissipated by a CMOS node is given by the equation:

The dynamic power dissipated by a CMOS node is given by the equation: Introduction: The advancement in technology and proliferation of intelligent devices has seen the rapid transformation of human lives. Embedded devices, with their pervasive reach, are being used more

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion REPRINT FROM: PROC. OF IRISCH SIGNAL AND SYSTEM CONFERENCE, DERRY, NORTHERN IRELAND, PP.165-172. Low Power VLSI CMOS Design An Image Processing Chip for RGB to HSI Conversion A.Th. Schwarzbacher and J.B.

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

FV-MSB: A Scheme for Reducing Transition Activity on Data Buses

FV-MSB: A Scheme for Reducing Transition Activity on Data Buses FV-MSB: A Scheme for Reducing Transition Activity on Data Buses Dinesh C Suresh 1, Jun Yang 1, Chuanjun Zhang 2, Banit Agrawal 1, Walid Najjar 1 1 Computer Science and Engineering Department University

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Analysis of Data Standards in Network on Chip Shaik Nadira 1 K Swetha 2

Analysis of Data Standards in Network on Chip Shaik Nadira 1 K Swetha 2 International Journal for Research in Technological Studies Vol. 2, Issue 11, October 2015 ISSN (online): 2348-1439 Analysis of Data Standards in Network on Chip Shaik Nadira 1 K Swetha 2 1 P.G. Scholar

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

2 Building Blocks. There is often the need to compare two binary values.

2 Building Blocks. There is often the need to compare two binary values. 2 Building Blocks 2.1 Comparators There is often the need to compare two binary values. This is done using a comparator. A comparator determines whether binary values A and B are: 1. A = B 2. A < B 3.

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip Rathod Shilpa M.Tech, VLSI Design and Embedded Systems, Department of Electronics & CommunicationEngineering,

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

Comparative Analysis of Various Adders using VHDL

Comparative Analysis of Various Adders using VHDL International Journal of Engineering and Technical Research (IJETR) ISSN: 2321-0869, Volume-3, Issue-4, April 2015 Comparative Analysis of Various s using VHDL Komal M. Lineswala, Zalak M. Vyas Abstract

More information

Power-conscious High Level Synthesis Using Loop Folding

Power-conscious High Level Synthesis Using Loop Folding Power-conscious High Level Synthesis Using Loop Folding Daehong Kim Kiyoung Choi School of Electrical Engineering Seoul National University, Seoul, Korea, 151-742 E-mail: daehong@poppy.snu.ac.kr Abstract

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Data Encoding Technique Using Gray Code in Network-on-Chip S. Kavitha Student, PG Scholar/VLSI Design, Karpagam University, Coimbatore, India Abstract:

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Implementation and Performance Analysis of different Multipliers

Implementation and Performance Analysis of different Multipliers Implementation and Performance Analysis of different Multipliers Pooja Karki, Subhash Chandra Yadav * Department of Electronics and Communication Engineering Graphic Era University, Dehradun, India * Corresponding

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Reducing Switching Activities Through Data Encoding in Network on Chip

Reducing Switching Activities Through Data Encoding in Network on Chip American-Eurasian Journal of Scientific Research 10 (3): 160-164, 2015 ISSN 1818-6785 IDOSI Publications, 2015 DOI: 10.5829/idosi.aejsr.2015.10.3.22279 Reducing Switching Activities Through Data Encoding

More information

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN

International Journal of Scientific & Engineering Research, Volume 7, Issue 3, March-2016 ISSN ISSN 2229-5518 159 EFFICIENT AND ENHANCED CARRY SELECT ADDER FOR MULTIPURPOSE APPLICATIONS A.RAMESH Asst. Professor, E.C.E Department, PSCMRCET, Kothapet, Vijayawada, A.P, India. rameshavula99@gmail.com

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 ISSN 0976 6464(Print)

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

International Journal of Advance Engineering and Research Development. Multicoding Techniqe to Reduce Power Dissipation in VLSI:A Review

International Journal of Advance Engineering and Research Development. Multicoding Techniqe to Reduce Power Dissipation in VLSI:A Review Scientific Journal of Impact Factor (SJIF): 4.72 International Journal of Advance Engineering and Research Development Volume 4, Issue 12, December -2017 e-issn (O): 2348-4470 p-issn (P): 2348-6406 Multicoding

More information

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier

Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier Efficient FIR Filter Design Using Modified Carry Select Adder & Wallace Tree Multiplier Abstract An area-power-delay efficient design of FIR filter is described in this paper. In proposed multiplier unit

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

Power Reduction Technique for Data Encoding in Network-on-Chip (NoC)

Power Reduction Technique for Data Encoding in Network-on-Chip (NoC) Power Reduction Technique for Data Encoding in Network-on-Chip (NoC) Venkatesh Rajamanickam 1, M.Jasmin 2 1, 2 Department of Electronics and Communication Engineering 1, 2 Bharath University,Selaiyur Chennai,

More information

Chapter 1: Digital logic

Chapter 1: Digital logic Chapter 1: Digital logic I. Overview In PHYS 252, you learned the essentials of circuit analysis, including the concepts of impedance, amplification, feedback and frequency analysis. Most of the circuits

More information

Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on GDI Technique

Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on GDI Technique International Journal of Scientific and Research Publications, Volume 4, Issue 7, July 2014 1 Reduced Area & Improved Delay Module Design of 16- Bit Hamming Codec using HSPICE 22nm Technology based on

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic

Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic Low Power Glitch Free Modeling in Vlsi Circuitry Using Feedback Resistive Path Logic Dr M.ASHARANI 1, N.CHANDRASEKHAR 2, R.SRINIVASA RAO 3 1 ECE Department, Professor, JNTU, Hyderabad 2,3 ECE Department,

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs

A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs 1 A Novel ROM Architecture for Reducing Bubble and Metastability Errors in High Speed Flash ADCs Mustafijur Rahman, Member, IEEE, K. L. Baishnab, F. A. Talukdar, Member, IEEE Dept. of Electronics & Communication

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Efficient Implementation of Combinational Circuits Using PTL

Efficient Implementation of Combinational Circuits Using PTL Efficient Implementation of Combinational Circuits Using PTL S. Kiruthiga, Assistant Professor, Sri Krishna College of Technology. S. Vaishnavi, Assistant Professor, Sri Krishna College of Technology.

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Design and Implementation of FPGA Based Digital Base Band Processor for RFID Reader

Design and Implementation of FPGA Based Digital Base Band Processor for RFID Reader Indian Journal of Science and Technology, Vol 10(1), DOI: 10.17485/ijst/2017/v10i1/109394, January 2017 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Implementation of FPGA Based Digital

More information

Design of low-power, high performance flip-flops

Design of low-power, high performance flip-flops Int. Journal of Applied Sciences and Engineering Research, Vol. 3, Issue 4, 2014 www.ijaser.com 2014 by the authors Licensee IJASER- Under Creative Commons License 3.0 editorial@ijaser.com Research article

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool IJSRD - International Journal for Scientific Research & Development Vol. 1, Issue 5, 2013 ISSN (online): 2321-0613 Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool Dheeraj

More information

Low-Power Approximate Unsigned Multipliers with Configurable Error Recovery

Low-Power Approximate Unsigned Multipliers with Configurable Error Recovery SUBMITTED FOR REVIEW 1 Low-Power Approximate Unsigned Multipliers with Configurable Error Recovery Honglan Jiang*, Student Member, IEEE, Cong Liu*, Fabrizio Lombardi, Fellow, IEEE and Jie Han, Senior Member,

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip

Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip V.Ravi Kishore Reddy M.Tech Student, Department of ECE Vijaya Engineering College, Ammapalem, Thanikella (m), Khammam, Telangana

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

ENCRYPTING INFORMATION PROFICIENCY FOR REDUCING POWER USAGE IN NETWORK-ON- CHIP

ENCRYPTING INFORMATION PROFICIENCY FOR REDUCING POWER USAGE IN NETWORK-ON- CHIP ENCRYPTING INFORMATION PROFICIENCY FOR REDUCING POWER USAGE IN NETWORK-ON- CHIP D.Pavan Kumar 1 C.Bhargav 2 T.Chakrapani 3 K.Sudhakar 4 dpavankumar432@gmail.com 1 bargauv@gmail.com 2 tchakrapani57@gmail.com

More information

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors M.Satheesh, D.Sri Hari Student, Dept of Electronics and Communication Engineering, Siddartha Educational Academy

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

TIME EFFICIENT PARITY GENERATOR BASED ON QUANTUM-DOT CELLULAR AUTOMATA

TIME EFFICIENT PARITY GENERATOR BASED ON QUANTUM-DOT CELLULAR AUTOMATA International Journal of Civil Engineering and Technology (IJCIET) Volume 10, Issue 02, February 2019, pp. 715-723, Article ID: IJCIET_10_02_069 Available online at http://www.iaeme.com/ijciet/issues.asp?jtype=ijciet&vtype=10&itype=02

More information

Run-Length Based Huffman Coding

Run-Length Based Huffman Coding Chapter 5 Run-Length Based Huffman Coding This chapter presents a multistage encoding technique to reduce the test data volume and test power in scan-based test applications. We have proposed a statistical

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 03, March -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 AREA OPTIMIZATION

More information

Multiplier and Accumulator Using Csla

Multiplier and Accumulator Using Csla IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 1, Ver. 1 (Jan - Feb. 2015), PP 36-44 www.iosrjournals.org Multiplier and Accumulator

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

A Two-bit Bus-Invert Coding Scheme With a Mid-level State Bus-Line for Low Power VLSI Design

A Two-bit Bus-Invert Coding Scheme With a Mid-level State Bus-Line for Low Power VLSI Design http://dx.doi.org/10.5573/jsts.014.14.4.436 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 014 A Two-bit Bus-Invert Coding Scheme With a id-level State Bus-Line for Low Power VLSI

More information

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Lecture 5 Doru Todinca Textbook This chapter is based on the book [RothKinney]: Charles H. Roth, Larry L. Kinney, Fundamentals

More information

An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit

An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit An Analysis for Power Minimization at Different Level of Abstraction to Optimize Digital Circuit Vivechana Dubey, Ravimohan Sairam ABSTRACT This paper aims at presenting an innovative conceptual framework

More information

Module 3: Physical Layer

Module 3: Physical Layer Module 3: Physical Layer Dr. Associate Professor of Computer Science Jackson State University Jackson, MS 39217 Phone: 601-979-3661 E-mail: natarajan.meghanathan@jsums.edu 1 Topics 3.1 Signal Levels: Baud

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion 02534567998 6 4 2 3 4 5 6 ANALOG to DIGITAL CONVERSION Analog variation (Continuous, smooth variation) Digitized Variation (Discrete set of points) N2 N1 Digitization applied

More information

Design of Parallel Prefix Tree Based High Speed Scalable CMOS Comparator for converters

Design of Parallel Prefix Tree Based High Speed Scalable CMOS Comparator for converters Design of Parallel Prefix Tree Based High Speed Scalable CMOS Comparator for converters 1 M. Gokilavani PG Scholar, Department of ECE, Indus College of Engineering, Coimbatore, India. 2 P. Niranjana Devi

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES Basil George 200831005 Nikhil Soni 200830014 Abstract Full adders are important components in applications such as digital

More information

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India

By Dayadi Lakshmaiah, Dr. M. V. Subramanyam & Dr. K. Satya Prasad Jawaharlal Nehru Technological University, India Global Journal of Researches in Engineering: F Electrical and Electronics Engineering Volume 14 Issue 9 Version 1.0 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

A NEW CDMA ENCODING/DECODING METHOD FOR ON-CHIP COMMUNICATION NETWORK

A NEW CDMA ENCODING/DECODING METHOD FOR ON-CHIP COMMUNICATION NETWORK A NEW CDMA ENCODING/DECODING METHOD FOR ON-CHIP COMMUNICATION NETWORK GOPINATH VENKATAGIRI 1 DR.CH.RAVIKUMAR M.E,PHD 2 GPNATH11@GMAIL.COM 1 KUMARECE0@GMAIL.COM 2 1 PG Scholar, Dept of ECE, PRAKASAM ENGINEERING

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

Pardeep Kumar, Susmita Mishra, Amrita Singh

Pardeep Kumar, Susmita Mishra, Amrita Singh Study of Existing Full Adders and To Design a LPFA (Low Power Full Adder) Pardeep Kumar, Susmita Mishra, Amrita Singh 1 Department of ECE, B.M.S.E.C, Muktsar, 2,3 Asstt. Professor, B.M.S.E.C, Muktsar Abstract

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip

Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Network-on-Chip Symposium, April 2008 Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang and Wei Hwang Department

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. PP 42-46 www.iosrjournals.org Design and Simulation of Convolution Using Booth Encoded Wallace

More information