Bus-Switch Encoding for Power Optimization of Address Bus

Size: px
Start display at page:

Download "Bus-Switch Encoding for Power Optimization of Address Bus"

Transcription

1 May 2006, Volume 3, No.5 (Serial No.18) Journal of Communication and Computer, ISSN , USA Haijun Sun 1, Zhibiao Shao 2 (1,2 School of Electronics and Information Engineering, Xi an Jiaotong University, Xi an , China ) Abstract: This paper presents a novel encoding technique to minimize the switch activities on the highly capacitive memory address bus so as to reduce power dissipation of bus. This technique is based on the temporal locality and spatial locality of instruction address. The experimental results based on an instruction set simulator and SPEC2000 benchmarks show that the presented encoding technique can reduce signal transitions on the address bus by 83.8%, and the actual overhead of the encoder circuit is estimated after encoder has been designed and synthesized with 0.18-µm CMOS technology. The results show that our technique well outperforms specialized low-power encoding schemes presented in the past. Key words: Bus; Encoding; Switching Activity; Low Power 1. Introduction Power dissipation is increasing continually and has become an important challenge in deep submicron chip design. With the increasing number of transistors on a chip and the rising operation frequency, power dissipation is increasing rapidly, causing cooling, packaging and reliability problems [1]. Meanwhile, many systems are becoming portable and wireless, the functioning of the power provided by a battery pack with a limited energy supply. In these situations, to achieve low power dissipation has become an important objective in the chip design. Nowadays, a large fraction of the total power dissipation on a chip is typically due to clock, memory, and datapath [2,3]. Heavily loaded wires in these design areas need special attention since the dynamic switch power because high capacitive loading on the wires can dominate the total power dissipation of chip. 1 Haijun Sun (1973- ), male, PhD candidate; main research fields: VLSI design. 2 Zhibiao Shao (1941- ), male, professor; main research fields: VLSI design. Low-swing buses are extensively used to reduce power dissipation. As memory address bus has high capacitance, power dissipation per address bus access is quite high, which limits the power efficiency of the overall system. Many low power techniques have been proposed to reduce the power of the address bus [4-8]. In this paper, a new address bus encoding method is presented to reduce bus power dissipation. Since the addresses are sequential except when control flow instructions are encountered or exceptions occur, signal transitions can be easily reduced by bus encoding method. We present a novel encoding technique in this paper, which is called Offset-Reorder-SM (ORS). And it is based on the adaptive reordering of the modified offset address bus lines with high toggling probability. The sorting index book is used to transmit the optimal sorting. ORS encoding gives more power reduction compared with other previous bus encoding methods. In section 2, we outline some related researches in this field. In section 3, ORS encoding method is presented. Bus is frozen when addresses are sequential. Otherwise, the modified offset address is transmitted after adaptive reordering of bus lines. The optimal sorting that minimizes the switching activity on the address bus lines is transmitted with the sorting index book. The experimental results based on an instruction set simulator and SPEC2000 benchmarks are given. ORS encoding is superior to the previous encoding methods in terms of signal transition reduction on the address bus, and the signal transition is reduced to 16.2%. The actual overhead of the encoder circuit is estimated after encoder is 86

2 designed and synthesized with 0.18-µm CMOS technology. Section 4 draws conclusions. 2. Previous Works In this section we review previous related works. There are a lot of techniques for low power bus and some of them are reviewed below. code [4] was proposed by L. Benini, et al. This encoding method achieves transition activity reduction in sequential addresses by using an extra bit line along with the address bus. The extra bit line is set when the addresses on the bus are sequential. In that case the data on the address bus is not altered. If the addresses are not sequential, the actual address will be put on the address bus. An average 60% of reduction in address bus switching activity is achieved by code. In addition, another encoding method based on the combination of bus invert encoding and encoding was proposed as -BI encoding [5]. In reference [6], Su, Tsui and Despain proposed using Gray code to implement the program counter of a microprocessor so as to minimize the switching activit ies of sequential memory accesses. Gray code is asymptotically optimal among all irredundant codes. Other codes include Pyramid code [7] and data ordering-based code [8,9]. In reference [10], -XOR code was proposed. The encoder works as follows: B (t) =b (t) (b (t-1) +S) B (t-1) where B (t) is the encoded value on the bus at time t, b (t) is the address value at time t, S is the stride between two successive addresses. It works the same as encoding when address increases uniformly. In other cases, the signal transitions are reduced compared to encoding because the offset address between before and after JUMP operation is usually small. In the same work, the authors proposed another encoding technique, which is called Offset-XOR code. It works as follows: B (t) =(b (t) -b (t-1) ) B (t-1) Usually the number of offsets is small and the XOR of previous bus value and offset has a small number of transitions. In reference [11] a new coding technique called the Beach Solution was proposed. In this method, the address trace of software is profiled, and possible correlation between different signals of the profiled trace is extracted. This information is subsequently used to define encoding functions that reduce the total switching activities. However, this method is only applicable to systems where the application programs are fixed and known prior since the encoding technique needs the exact knowledge of the address bus trace. The power saving is reported as 42%. 3. Offset-Reorder-SM Encoding 3.1 Offset-Reorder-SM Encoding Mechanism A novel address bus encoding method called Offset-Reorder-SM (ORS) encoding is proposed, which is based on the dynamically reorder of the modified offset address bus lines with high toggling probability. It results in high power saving on the address bus. Similar to code, the basic saving happens as a result of freezing the bus when addresses are sequential. Based on the statistics reported in reference [12], more than 95% of the branches in any program have offsets that need less than 10 bits to be binary coded. Therefore, when the offset address is transmitted on bus, since the high bits of offset address always remain zero, the offset code has a small number of transitions on the bus lines. However, if a backward jump occurs in an instruction trace, the resulting offset will be negative. This negative number tends to have a small magnitude. Therefore, when it is encoded in two s complement form, it will contain many 1 in high bits compared with positive offset, which can result in a large number of transitions on the bus lines. In a typical application program, many small backward jumps exist. In ORS encoding, LSBInv(x) 87

3 function is applied to the offset address, which is as follows: M (t) =LSBInv(b (t) -b (t-1) ) where M (t) is the value of the modified offset address, b (t) is the address value at time t. LSBInv(x) function inverts all bits of offset except the most significant bits when the offset is negative. Otherwise, offset remains unchanged, as shown in table 1. Table 1 Example of LSBInv Function Original Offset FFFFFFFFh,(-1) FFFFFFFEh,(-2) FFFFFFF6h,(-10) h Modified Offset h h h FFFFFFFFh To decrease bus switching activit ies further, the modified offset address bus lines are dynamically reordered. Because most of the branch displacements of a typical program need maximum of 10 bits to be represented based on reference [12], the ten least significant bits of modified offset address are dynamically reordered, which are organized in clusters of N lines each. Each N-line cluster is tentatively reordered by swapping the input lines using a particular sorting. The process is repeated N! times until the optimal sorting is found, which minimizes the switching activit ies on address bus. An N-bit data word x(t) will be indicated as {x(t)(n-1), x(t)(n-2),, x(t)(0)}. A sorting s(t) is an ordered set of N indices {z N-1,,z 0 }. F is a combinational logic function to produce a swapped data word y(t) as follows: yt () = {()( yt N 1), yt ()( N 2),, yt ()(0)} = F( x( t),s( t)) = {x(t)(z N-1 ), x(t)(z N-2 ),, x(t)(z 0 )} For example, if s(t)={3,1,2,0} and x(t)={0,1,0,1}, then y(t)={0,0,1,1}. Each sorting s(t) has a unique inverse s -1 (t), so x( t) = F( y( t), s -1 ( t)) = F( F ( x( t), s( t)), s -1 ( t)) For instance, if s(t)={0,2,3,1}, then s -1 (t)= {1,2,0,3}. The ORS encoding method can be expressed by the following equation: () t () t ( B, SEL ) = + = () t ( y,0) otherwise ( t 1) () t ( t 1) ( B,1) if( b b SR) where B (t) is the encoded value on the bus at time t, b (t) is the address value at time t, SEL (t) is the additional bus line to transfer the information on the sequentiality of the addresses to the receiver side, SR is the stride between two successive addresses, y (t) is obtained by the original offset address which is modified with LSBInv (x) function, and the ten least significant bits are dynamically reordered with the optimal sorting. The architecture of this encoder is shown in Fig. 1. pcloc k COUNTER B b (t) (t- - b 1) (t- 1) RESE T LSBInv(x) H 0. 1 N!-1 Index book pcloc k sorting Reorde r of bus CMP REG distanc Hamming e enabl e CLK Fig. 1 The Architecture of Encoder REG? tp (ts - 1) y (t) tp (ts) From the definition of encoding function and inverse swapping, we derive the following decoding equation to obtain the original b (t) : b () t ( t 1) b + SR if SEL = ( 1) = ( t 1) 1 1 b + LSBInv ( FBt ( (), s ())) t if ( SEL = 0) opt where s opt is the optimal sorting, LSBInv -1 (x) function inverts all bits of x except the most significant bit when the MSB bit is 1 ; otherwise, x remains unchanged. 3.2 Optimal Sorting Pattern In ORS code, the optimal sorting s opt could 88

4 minimize the switching activity H[y(t) B (t-1) ], where H is the Hamming distance between y(t) and B (t-1). The sorting s can be generated according to the fixed sequence by a finite state machine. A counter takes count of the clock cycle, and each number should index a sorting to the index book. Because the allowed individual s are at most N!, the range of the counter is from 0 to N!-1. The optimal sorting will be found after N! attempts. One extra line tp is added to transmit the optimal sorting, tp can be expressed as follows: ( ts) ( ts 1) (ts) tp = tp, if ( H < Hmin ); ( ts) ( ts 1) tp = tp, otherwise. When H of current is no less than the previous minimum, tp remains unchanged; otherwise, the transition occurs on tp line, as shown in Fig. 1. H produces the Hamming distance between two words. CMP unit compares the actual Hamming distance with the temporary minimum. The receiver of optimal sorting is shown in Fig. 2. There is a counter synchronous with the counter of encoder. A register is used to store the number of counter with the signal tp. The output of the register is expressed as follows: ( ts) ( ts) ( ts) ( ts 1) ( ts 1) ( ts) ( ts 1) regv = cont &( tp tp ) + regv & ( tp tp ) where regv is the output of register, cont is the number of the counter. When transition occurs on tp line, the register stores the number of the counter; otherwise, the register is latched. After the N! clock cycles, the number of the register will index the optimal sorting. tp RESET REGISTER COUNTER pclock sorting index book N!-2 N!-1 Optimal sorting Fig. 2 The Receiver of Optimal Sorting Pattern 3.3 Experimental Results To evaluate the proposed encoding technique, we have generated detailed address bus traces for a number of SPEC2000 benchmarks using a simulator called simplescalar. Each trace consists of 10 million instructions. The used benchmark programs are gzip, gcc, mcf, equake and ammp. The different encoding techniques are applied to measure the change in switching activit ies. Table 2 show s the signal transition reduction ratios of each encoding method. The signal transition reduction ratio means the ratio between the number of signal transitions of the raw instruction address and the encoded address. We evaluate code, -XOR code, Offset-XOR code for comparison except ORS code. The last row of this table shows the average signal transition reduction ratio over all of the benchmarks for each encoding method. As shown in table 2, ORS encoding method is superior to other encoding methods in terms of signal transition reduction, and the signal transition is reduced to 16.2%. Experimental results indicate that ORS code is very effective and applicable for low power encoding of address bus. Table 2 Signal Transition Reduction Ratio for Each Encoding Method Benchmark Raw - Offset Adaptive Address XOR -XOR -Offset-M gzip gcc mcf equake ammp Average To estimate the actual overhead of the above encoder circuits, we have generated the physical transistor netlist of each encoder circuit. The target technology is 1.8-volt 0.18-µm CMOS process. I/O voltage is 3.3V. A gate-level circuit simulator is used to estimate the power dissipations of the encoders with traced instruction addresses of benchmark programs. The results of a 120 MHz system clock are reported in table 3. In Fig. 3, the percentage of total power saved versus external address bus capacitance is compared with different encoding techniques. 89

5 Table 3 Encoder Area Overhead and Power Dissipation Area of Encoder (µm 2 ) Average Power of Encoder (µw) Power dissipation percentage Ratio 40% 38% 36% 34% 32% 30% 28% 26% 24% 22% 20% 18% 16% 14% 12% - XOR Offset -XOR ORS ORS Offset-Xor -Xor 10% bus capatitance(pf) Fig. 3 Comparison of Power Percentage of Different 4. Conclusions Encoding Techniques We have presented a new address bus encoding method based on the adaptive reordering of the modified offset address bus lines. ORS encoding method reorders the modified offset address bus lines with high toggling probability, and the sorting index book is introduced to transmit the optimal sorting. The experimental results based on an instruction set simulator and SPEC2000 benchmarks show that ORS encoding method can reduce signal transitions on the address bus by 83.8%, and the actual overhead of the encoder circuit is estimated after encoder has been designed and synthesized with 0.18-µm CMOS technology. The results show that this encoding method is superior to the previous encoding methods in terms of signal transition reduction on the address bus, and it is very effective and applicable for low power encoding of address bus. References: [1] M. Pedram, Power Minimization in IC Design: Principles and Applications, ACM Trans. Design Automation Electron, Syst., 1996, 1(1): pp [2] V. Tiwari, D. Singh, S. Rajgopal, G. Mehta, R. Patel, F. Baez, Reducing Power in High-performance Microprocessors, in Proc. 35th Design Automation Conf., 1998: pp [3] M. Gowan, L. Brio, B. Jackson, Power Considerations in the Design of the Alpha Microprocessor, in Proc. 35th Design Automation Conf., 1998: pp [4] L. Benini, G. De Micheli, E. Macii, D. Sciuto, C. Silvano, Asymptotic Zero-Transition Activity Encoding for Address Buses in Low-Power Microprocessor-Based Systems, IEEE 7th Great Lakes Symposium on VLSL, 1997: pp [5] L. Benini, G. De Micheli, E. Macii, D. Sciuto, C. Silvano, Address Bus Encoding Techniques for System-Level Power Optimization, Design Automation and Test in Europe, 1998: pp [6] C. L. Su, C. Y. Tsui, A. M. Despain, Saving Power in the Control Path of Embedded Processors, IEEE Design Test Comput., 1994 (11): pp [7] W. C. Cheng, M. Pedram, Power-optimal Encoding for DRAM Address Bus, in Proc. Int. Symp. Low-Power Electron. Design, 2000: pp [8] R. Murgai, M. Fujita, A. Oliveria, Using Complementation and Resequencing to Minimize Transitions, in Proc. Design Automation Conf., 1998: pp [9] R. Murgai, M. Fujita, On Reducing Transition through Data Modifications, in Proc. of Design Automation Test Europe, 1999: pp [10] W. Fornaciari, M. Polentarutti, D. Sciuto, C. Silvano, Power Optimization of System-Level Address Buses Based on Software Profiling, CODES, 2000: pp [11] L. Benini, G. De Michelli, E. Macii, M. Poncino, S. Quer, System-Level Power Optimization of Special Purpose Applications: The Beach Solution, IEEE Symposium on Low Power Electronics and Design, 1997: pp [12] Hennessy, Patterson, Computer Architecture, A Quantitative Approach, Second Edition, Morgan Kaufinann Publishers, (Editors: Julia, Ivan, Susan) 90

The dynamic power dissipated by a CMOS node is given by the equation:

The dynamic power dissipated by a CMOS node is given by the equation: Introduction: The advancement in technology and proliferation of intelligent devices has seen the rapid transformation of human lives. Embedded devices, with their pervasive reach, are being used more

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Analysis of Data Standards in Network on Chip Shaik Nadira 1 K Swetha 2

Analysis of Data Standards in Network on Chip Shaik Nadira 1 K Swetha 2 International Journal for Research in Technological Studies Vol. 2, Issue 11, October 2015 ISSN (online): 2348-1439 Analysis of Data Standards in Network on Chip Shaik Nadira 1 K Swetha 2 1 P.G. Scholar

More information

LOW POWER DATA BUS ENCODING & DECODING SCHEMES

LOW POWER DATA BUS ENCODING & DECODING SCHEMES LOW POWER DATA BUS ENCODING & DECODING SCHEMES BY Candy Goyal Isha sood engg_candy@yahoo.co.in ishasood123@gmail.com LOW POWER DATA BUS ENCODING & DECODING SCHEMES Candy Goyal engg_candy@yahoo.co.in, Isha

More information

A Fast INC-XOR Codec for Low Power Address Buses

A Fast INC-XOR Codec for Low Power Address Buses A Fast INC-XOR Codec for Low Power Address Buses H. Parandeh-Afshar 1,*, M. Saneei 1, A. Afzali-Kusha 1, M. Pedram 2 1 Nanoelectronics Center of Excellence, School of Electrical and Computer Engineering

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Data Encoding Technique Using Gray Code in Network-on-Chip S. Kavitha Student, PG Scholar/VLSI Design, Karpagam University, Coimbatore, India Abstract:

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 2, APRIL E(m)= n /01$10.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 2, APRIL E(m)= n /01$10. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO., APRIL 001 77 Transactions Briefs Partial Bus-Invert Coding for Power Optimization of Application-Specific Systems Youngsoo

More information

FV-MSB: A Scheme for Reducing Transition Activity on Data Buses

FV-MSB: A Scheme for Reducing Transition Activity on Data Buses FV-MSB: A Scheme for Reducing Transition Activity on Data Buses Dinesh C Suresh 1, Jun Yang 1, Chuanjun Zhang 2, Banit Agrawal 1, Walid Najjar 1 1 Computer Science and Engineering Department University

More information

LOW POWER AND HIGH SPEED DATA ENCODING TECHNIQUE IN NoC

LOW POWER AND HIGH SPEED DATA ENCODING TECHNIQUE IN NoC LOW POWER AND HIGH SPEED DATA ENCODING TECHNIQUE IN NoC Mrs. Gopika. V 1, Ms P. Radhika 2 1,2 Assistant Professor, PPGIT, Coimbatore, Tamil Nadu, India Abstract - Network on Chip is a communication subsystem

More information

Reducing Switching Activities Through Data Encoding in Network on Chip

Reducing Switching Activities Through Data Encoding in Network on Chip American-Eurasian Journal of Scientific Research 10 (3): 160-164, 2015 ISSN 1818-6785 IDOSI Publications, 2015 DOI: 10.5829/idosi.aejsr.2015.10.3.22279 Reducing Switching Activities Through Data Encoding

More information

ENCRYPTING INFORMATION PROFICIENCY FOR REDUCING POWER USAGE IN NETWORK-ON- CHIP

ENCRYPTING INFORMATION PROFICIENCY FOR REDUCING POWER USAGE IN NETWORK-ON- CHIP ENCRYPTING INFORMATION PROFICIENCY FOR REDUCING POWER USAGE IN NETWORK-ON- CHIP D.Pavan Kumar 1 C.Bhargav 2 T.Chakrapani 3 K.Sudhakar 4 dpavankumar432@gmail.com 1 bargauv@gmail.com 2 tchakrapani57@gmail.com

More information

Power Reduction Technique for Data Encoding in Network-on-Chip (NoC)

Power Reduction Technique for Data Encoding in Network-on-Chip (NoC) Power Reduction Technique for Data Encoding in Network-on-Chip (NoC) Venkatesh Rajamanickam 1, M.Jasmin 2 1, 2 Department of Electronics and Communication Engineering 1, 2 Bharath University,Selaiyur Chennai,

More information

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip

Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip Novel implementation of Data Encoding and Decoding Techniques for Reducing Power Consumption in Network-on-Chip Rathod Shilpa M.Tech, VLSI Design and Embedded Systems, Department of Electronics & CommunicationEngineering,

More information

A NEW CDMA ENCODING/DECODING METHOD FOR ON-CHIP COMMUNICATION NETWORK

A NEW CDMA ENCODING/DECODING METHOD FOR ON-CHIP COMMUNICATION NETWORK A NEW CDMA ENCODING/DECODING METHOD FOR ON-CHIP COMMUNICATION NETWORK GOPINATH VENKATAGIRI 1 DR.CH.RAVIKUMAR M.E,PHD 2 GPNATH11@GMAIL.COM 1 KUMARECE0@GMAIL.COM 2 1 PG Scholar, Dept of ECE, PRAKASAM ENGINEERING

More information

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) HIGH-SPEED 64-BIT BINARY COMPARATOR USING NEW APPROACH

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) HIGH-SPEED 64-BIT BINARY COMPARATOR USING NEW APPROACH INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) ISSN 0976 6367(Print) ISSN 0976 6375(Online) Volume 4, Issue 1, January- February (2013), pp. 325-336 IAEME:www.iaeme.com/ijcet.asp Journal

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Optimization of energy consumption in a NOC link by using novel data encoding technique

Optimization of energy consumption in a NOC link by using novel data encoding technique Optimization of energy consumption in a NOC link by using novel data encoding technique Asha J. 1, Rohith P. 1M.Tech, VLSI design and embedded system, RIT, Hassan, Karnataka, India Assistent professor,

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

A High-Speed 64-Bit Binary Comparator

A High-Speed 64-Bit Binary Comparator IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834, p- ISSN: 2278-8735. Volume 4, Issue 5 (Jan. - Feb. 2013), PP 38-50 A High-Speed 64-Bit Binary Comparator Anjuli,

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

LOW-POWER design is one of the most critical issues

LOW-POWER design is one of the most critical issues 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 2, FEBRUARY 2007 A Novel Low-Power Logic Circuit Design Scheme Janusz A. Starzyk, Senior Member, IEEE, and Haibo He, Member,

More information

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating Dr. D. Mahesh Kumar Assistant Professor in Electronics, PSG College of Arts & Science, Coimbatore 14, Tamil Nadu, India. Abstract

More information

EMBEDDED systems are those computing and control

EMBEDDED systems are those computing and control 266 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 6, NO. 2, JUNE 1998 Power Estimation of Embedded Systems: A Hardware/Software Codesign Approach William Fornaciari, Member, IEEE,

More information

Bus Serialization for Reducing Power Consumption

Bus Serialization for Reducing Power Consumption Regular Paper Bus Serialization for Reducing Power Consumption Naoya Hatta, 1 Niko Demus Barli, 2 Chitaka Iwama, 3 Luong Dinh Hung, 1 Daisuke Tashiro, 4 Shuichi Sakai 1 and Hidehiko Tanaka 5 On-chip interconnects

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN High-Speed 64-Bit Binary using Three Different Logic Styles Anjuli (Student Member IEEE), Satyajit Anand Abstract--High-speed 64-bit binary comparator using three different logic styles is proposed in

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Design of low-power, high performance flip-flops

Design of low-power, high performance flip-flops Int. Journal of Applied Sciences and Engineering Research, Vol. 3, Issue 4, 2014 www.ijaser.com 2014 by the authors Licensee IJASER- Under Creative Commons License 3.0 editorial@ijaser.com Research article

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Power-conscious High Level Synthesis Using Loop Folding

Power-conscious High Level Synthesis Using Loop Folding Power-conscious High Level Synthesis Using Loop Folding Daehong Kim Kiyoung Choi School of Electrical Engineering Seoul National University, Seoul, Korea, 151-742 E-mail: daehong@poppy.snu.ac.kr Abstract

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Instruction Scheduling for Low Power Dissipation in High Performance Microprocessors

Instruction Scheduling for Low Power Dissipation in High Performance Microprocessors Instruction Scheduling for Low Power Dissipation in High Performance Microprocessors Abstract Mark C. Toburen Thomas M. Conte Department of Electrical and Computer Engineering North Carolina State University

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Ultra Low Power Consumption Military Communication Systems

Ultra Low Power Consumption Military Communication Systems Ultra Low Power Consumption Military Communication Systems Sagara Pandu Assistant Professor, Department of ECE, Gayatri College of Engineering Visakhapatnam-530048. ABSTRACT New military communications

More information

Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip

Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip Reducing Energy Consumption by Using Data Encoding Techniques in Network-On-Chip V.Ravi Kishore Reddy M.Tech Student, Department of ECE Vijaya Engineering College, Ammapalem, Thanikella (m), Khammam, Telangana

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Design of Parallel Prefix Tree Based High Speed Scalable CMOS Comparator for converters

Design of Parallel Prefix Tree Based High Speed Scalable CMOS Comparator for converters Design of Parallel Prefix Tree Based High Speed Scalable CMOS Comparator for converters 1 M. Gokilavani PG Scholar, Department of ECE, Indus College of Engineering, Coimbatore, India. 2 P. Niranjana Devi

More information

A Two-bit Bus-Invert Coding Scheme With a Mid-level State Bus-Line for Low Power VLSI Design

A Two-bit Bus-Invert Coding Scheme With a Mid-level State Bus-Line for Low Power VLSI Design http://dx.doi.org/10.5573/jsts.014.14.4.436 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 014 A Two-bit Bus-Invert Coding Scheme With a id-level State Bus-Line for Low Power VLSI

More information

REDUCING POWER DISSIPATION IN NETWORK ON CHIP BY USING DATA ENCODING SCHEMES

REDUCING POWER DISSIPATION IN NETWORK ON CHIP BY USING DATA ENCODING SCHEMES REDUCING POWER DISSIPATION IN NETWORK ON CHIP BY USING DATA ENCODING SCHEMES 1 B.HEMALATHA, 2 G.MAMATHA 1,2 Department of Electronics and communication, J.N.T.U., Ananthapuram E-mail: 1 hemabandi7@gmail.com,

More information

Low-Power Design Methodology for an On-chip Bus with Adaptive Bandwidth Capability

Low-Power Design Methodology for an On-chip Bus with Adaptive Bandwidth Capability 36.2 Low-Power Design Methodology for an On-chip Bus with Adaptive Bandwidth Capability Rizwan Bashirullah Wentai Liu* Ralph K. Cavin Department of Electrical Department of Engineering Semiconductor Research

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion

Low Power VLSI CMOS Design. An Image Processing Chip for RGB to HSI Conversion REPRINT FROM: PROC. OF IRISCH SIGNAL AND SYSTEM CONFERENCE, DERRY, NORTHERN IRELAND, PP.165-172. Low Power VLSI CMOS Design An Image Processing Chip for RGB to HSI Conversion A.Th. Schwarzbacher and J.B.

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE

POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE ABSTRACT T.Subhashini and M.Kamaraju Department of Electronics and Communication Engineering, Gudlavalleru

More information

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado DesignCon 2005 Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling Brock J. LaMeres, University of Colorado Sunil P. Khatri, Texas A&M University Abstract Advances in System-on-Chip

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

RTL Power Estimation for Large Designs

RTL Power Estimation for Large Designs RTL Power Estimation for Large Designs V.Anandi Associate Professor M.S.R.I.T MSR Nagar Bangalore anaramsur@gmail.com Dr.Rangarajan Director Indus Engineering College Coimbatore profrr@gmail.com M.Ramesh

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Design and Implementation of combinational circuits in different low power logic styles

Design and Implementation of combinational circuits in different low power logic styles IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 01-05 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data.

AND 5GHz ABSTRACTT. easily detected. the transition. for half duration. cycle highh voltage is send. this. data bit frame. the the. data. COMPARISON OF DIFFERENT DESIGNS OF MANCHES STER ENCODER DESIGNED D WITH CMOS INVERTERS USING 32NM UMC CMOS TECHNOLOGY AT 1GHz, 2.5GHz AND 5GHz M. Tech student, Department of ECE, Gyan Vihar School of Engineering

More information

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper.

Kaushik Roy. possible to try all ranges of signal properties to estimate. when the number of primary inputs is large. In this paper. Sensitivity - A New Method to Estimate Dissipation Considering Uncertain Specications of Primary Inputs Zhanping Chen Electrical Engineering Purdue University W. Lafayette, IN 47907 Kaushik Roy Electrical

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

ASIC Design and Implementation of SPST in FIR Filter

ASIC Design and Implementation of SPST in FIR Filter ASIC Design and Implementation of SPST in FIR Filter 1 Bency Babu, 2 Gayathri Suresh, 3 Lekha R, 4 Mary Mathews 1,2,3,4 Dept. of ECE, HKBK, Bangalore Email: 1 gogoobabu@gmail.com, 2 suresh06k@gmail.com,

More information

A Technique to Reduce Transition Energy for Data-Bus in DSM Technology

A Technique to Reduce Transition Energy for Data-Bus in DSM Technology www.ijcsi.org 40 A Technique to Reduce Transition Energy for Data-Bus in DSM Technology A.Sathish, M.Madhavi Latha and K. Lalkishor Assoc. Prof., Dept of ECE, RGMCET, Nandyal, Andhra Pradesh, 5850 Professor,

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

ISSN Vol.03,Issue.04, July-2015, Pages:

ISSN Vol.03,Issue.04, July-2015, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.03,Issue.04, July-2015, Pages:0467-0474 Proposed Encoding Schemes for Reduced Energy Consumption in Network-on-Chip L. ASHWINI 1, B. VASU NAIK 2 1 PG Scholar, Dept of

More information

Low power 18T pass transistor logic ripple carry adder

Low power 18T pass transistor logic ripple carry adder LETTER IEICE Electronics Express, Vol.12, No.6, 1 12 Low power 18T pass transistor logic ripple carry adder Veeraiyah Thangasamy 1, Noor Ain Kamsani 1a), Mohd Nizar Hamidon 1, Shaiful Jahari Hashim 1,

More information

2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES

2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES 2-BIT MAGNITUDE COMPARATOR DESIGN USING DIFFERENT LOGIC STYLES 1 Shruthi B, Assistant professor, GSSSIETW, Mysuru 2 Ashwini K R Assistant professor, GSSSIETW, Mysuru ABSTRACT: 2-bit magnitude comparator

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Ehsan Pakbaznia, Student Member, and Massoud Pedram, Fellow, IEEE Abstract A tri-modal Multi-Threshold

More information

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System V Satya Deepthi 1, SnehaSuprakash 2, USBK MahaLakshmi 3 1 M.Tech student, 2 Assistant Professor, 3 Assistant

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Trading off Reliability and Power-Consumption in Ultra-Low Power Systems

Trading off Reliability and Power-Consumption in Ultra-Low Power Systems rading off Reliability and Power-Consumption in Ultra-Low Power Systems Atul Maheshwari, Wayne Burleson and Russell essier Department of Electrical and Computer Engineering University of Massachusetts,

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information