MODELING AND CHARACTERIZATION OF 3D MULTILAYER TRANSMISSION LINES

Size: px
Start display at page:

Download "MODELING AND CHARACTERIZATION OF 3D MULTILAYER TRANSMISSION LINES"

Transcription

1 MODELING AND CHARACTERIZATION OF 3D MULTILAYER TRANSMISSION LINES A thesis submitted to The University of Manchester for the degree of MPhil In the Faculty of Engineering and Physical Sciences YEAR OF SUBMISSION: 1 PETER B. K. KYABAGGU SCHOOL OF ELECTRICAL AND ELECTRONIC ENGINEERING MICROWAVE AND COMMUNICATION SYSTEMS GROUP

2 TABLE OF CONTENTS TABLE OF CONTENTS TABLE OF FIGURES 5 LIST OF TABLES.9 ABSTRACT....1 DECLARATION.. 11 COPY RIGHT STATEMENT ACKNOWLEDGEMENTS....1 Chapter 1: Introduction : REPORT ORGANISATION Chapter : Literature review : INTRODUCTION : TRANSMISSION LINE THEORY : TRANSMISSION LINE EXTRACTED PARAMETERS : Characteristic Impedance : Phase Velocity : Effective dielectric constant : Attenuation of a CPW transmission line : Quality Factor : Dielectric Loss Tangent : Dissipation Factor : MICROSTRIP TRANSMISSION LINE : COPLANAR WAVEGUIDE TRANSMISSION LINE : Advantages of Coplanar Waveguides : Disadvantages of Coplanar Waveguides : CPW TO MICROSTRIP TRANSITION : SCATTERING PARAMETERS Chapter 3: Experimental Techniques : MOMENTUM SIMULATOR IN ADS PETER KYABAGGU [ ] Page

3 3.: EXTRACTION OF TRANSMISSION LINE PARAMETERS USING S-PARAMETERS : Calculation methodology for the Characteristic Impedance Z : Calculation methodology for effective dielectric constant effective : Dissipation Loss : FABRICATION : Multilayer MMIC fabrication procedure for passive components : NETWORK ANALYSER CALIBRATION : SOLT Calibration : SOLR Calibration : LMMR Calibration Chapter 4: Results and Discussions : PLANAR TRANSMISSION LINES : CPW transmission line : Microstrip Line : Conclusion : STUDY OF THE ATTENUATION OF A CPW TRANSMISSION LINE : Calculation for the attenuation of a planar CPW transmission line with GaAs substrate : Calculation results for the attenuation of a planar on polyimide CPW transmission line with GaAs substrate : Comparison of dissipation loss results from two different formulas : Quality Factor analysis in relation to the CPW transmission line : Conclusion : ON-WAFER MEASUREMENTS : On-wafer metal thickness measurement results : On-wafer polyimide thickness measurement results : On-wafer measurement results for metal interconnect resistances : Conclusion : STUDY OF THE CPW TO MICROSTRIP TRANSITION : Simulation results and analysis of transition Design : Measurement results and analysis of transition design : Conclusion PETER KYABAGGU [ ] Page 3

4 4.5: DESIGN : CPW TO MICROSTRIP TRANSITION TRANSMISSION LINE [WITH REDUCED FOOTPRINT WIDTH : Results and Analysis for design : Resonance frequency of the transmission line with and without bonding pads : Effect of tapered CPW ground planes : Effect of the transition length : Effect of the signal line width : Effect of the CPW gap width, G on the transition transmission line : Effect of length of bonding pads : Effect of Metal width on the transition transmission line : Effect of the microstrip signal width on the transition transmission line : Investigating the effect of simulating the transmission line structure at both 1.5mm and 1.1mm length : Conclusion : DESIGN 3: CPW TO MICROSTRIP TRANSITION TRANSMISSION LINE [WITHOUT FOOTPRINT] : Effect of the transition region length on the design : Effect of the transmission line length on the design 3 transition transmission line : Comparison of design 3 with and without a transition region : Comparison of Design and 3 with and without bonding pads : Comparison this work with published data : Conclusions...14 CHAPTER 5: CONCLUSIONS AND FUTURE WORK : CONCLUSIONS : FUTURE WORK...17 References Appendix 1: Project Plan.111 PETER KYABAGGU [ ] Page 4

5 TABLE OF FIGURES Figure.1: Equivalent circuit of a transmission line Figure.: The parallel RLC circuit [15]... 6 Figure.3: An open-circuited length of a lossy transmission line [15]... 8 Figure.4: The RL circuit impedance phase diagram... 3 Figure.5: Microstrip transmission line Figure.6: Cross-sectional view of a microstrip transmission line showing electric and magnetic field patterns Figure.7: CPW transmission line... 3 Figure.8: Cross-sectional view of a CPW transmission line showing electric and magnetic field patterns Figure.9: Aerial view of a CPW to microstrip transition Figure.1: Cross-sectional view of CPW to microstrip transition Figure.11: Two-port network model Figure 3.1: Steps taken to carry out a simulation using ADS momentum simulator Figure 3.: Definition of dissipation loss in a transmission line [7] Figure 3.3: Flow chart for the fabrication process... 4 Figure 3.4: Sketch of the GSG probes on Impedance Standard Substrate (ISS) standard Figure 3.5: Calibration Flow Chart [8] Figure 3.6: Magnitude (S11) corresponding to a good calibration Figure 4.1: Layout of a planar CPW transmission line with bonding pads Figure 4.: Extracted Parameters of a multilayer CPW transmission line with and without bonding pads from simulated and measured S-parameters Figure 4.3: Layout of a microstrip transmission line with bonding pads Figure 4.4: Extracted Parameters of a multilayer microstrip transmission line with and without bonding pads from simulated and measured S-parameters... 5 Figure 4.5: Calculated results for dielectric loss at different loss tangents of a planar CPW transmission line Figure 4.6: Simulated, measured and calculated results for dissipation loss of a planar CPW transmission line Figure 4.7: Calculated results for conductor and dielectric losses of a planar CPW transmission line Figure 4.8: Calculated results for conductor, dielectric and total losses of a planar CPW transmission line Figure 4.9: Simulated and Calculated results for dissipation loss of a planar on polyimide CPW transmission line PETER KYABAGGU [ ] Page 5

6 Figure 4.1: Calculated results for dissipation loss of both planar and planar on polyimide CPW transmission line... 6 Figure 4.11: Plot for calculated conductor loss against conductor strip thickness at different frequencies... 6 Figure 4.1: Conductor Loss of planar CPW for finite Characteristic Impedance at 1 GHz Figure 4.13: Plot for calculated conductor loss against frequency at different conductor strip width Figure 4.14: Plot for calculated conductor loss against skin depth at different conductor strip thicknesses... 6 Figure 4.15: Plot of dissipation loss against frequency using formulas 1 and Figure 4.16: Plot of quality factor, Q as a function of frequency for a planar CPW transmission line Figure 4.17: Plot of dissipation factor, D as a function of frequency for a planar CPW transmission line Figure 4.18: Plot of quality factor against of a planar CPW and planar on polyimide CPW transmission line (a) the parallel resistance and (b) parallel capacitance Figure 4.19: Cell layout for sample wafer used for the measurements Figure 4.: Top view of the M1-M interconnect Figure 4.1: Cross-sectional view of metal interconnects on a GaAs substrate Figure 4.: (a) Plasma etching using pure oxygen. (b) Photo-resist is reduced and polyimide removed. (c) M1-M3 interconnect Figure 4.3: M1 M3 interconnect test Figure 4.4: Simulated S11 parameters of the CPW to microstrip transition transmission line with default and measured parameters Figure 4.5: Simulated S1 parameters of the CPW to microstrip transition transmission line with default and measured parameters Figure 4.6: Simulated characteristic impedance of the CPW to microstrip transition transmission line with default and measured parameters Figure 4.7: Measured CPW to microstrip transition design; (a) S11 parameters. (b) S1 parameters Figure 4.8: Measured and simulated CPW to microstrip transition; (a) S11, (b) S1 parameters. 77 Figure 4.9: Design Cross-sectional view of: (a) CPW region, (b) Transition region and (c) Microstrip region of the CPW-to-microstrip transition transmission line Figure 4.3: Simulated S11 parameters for design of the CPW to microstrip transition transmission line with and without bonding pads Figure 4.31: Simulated S1 parameters for design of the CPW to microstrip transition transmission line with and without bonding pads Figure 4.33: Simulated characteristic impedance for design of the new CPW to microstrip transition transmission line with and without bonding pads... 8 PETER KYABAGGU [ ] Page 6

7 Figure 4.33: Simulated S11 parameters for design of the new design transmission line, transmission line without transition and a line with no footprints Figure 4.34: Simulated S1 parameters for design of the new design transmission line, transmission line without transition and a line with no footprints... 8 Figure 4.35: Simulated dissipation loss for design transition transmission line, transmission line without transition and a line with no footprints Figure 4.36: Simulated S11 parameters of the new CPW to microstrip transition transmission line at different tapered CPW ground angles Figure 4.37: Simulated S1 parameters versus frequency for different tapered CPW ground angles Figure 4.38: Plot of the characteristic impedance of the new transition design against the transition length Figure 4.39: Plot of the characteristic impedance of the new transition design against the signal width, Wsignal Figure 4.4: Simulated S11 parameters of the new CPW to microstrip transition transmission line with different CPW gap width, G Figure 4.41: Plot of S11 parameters against CPW gap width Figure 4.4: Simulated S1 parameters of the new CPW to microstrip transition transmission line with different CPW gap width, G Figure 4.43: Plot of the characteristic impedance of the new transition design against the gap width, G Figure 4.44: Simulated S11 parameters versus frequency for different bonding pad lengths Figure 4.45: Simulated S1 parameters versus frequency for different bonding pad lengths Figure 4.46: Plot of S1 parameters against length of the bonding pads... 9 Figure 4.47: Cross-sectional view of the CPW of the transition elaborating the width of Metal. 9 Figure 4.48: Simulated S11 parameters versus frequency for different width values for metal Figure 4.49: Simulated S1 parameters versus frequency for different width values for metal Figure 4.5: Simulation plot of the characteristic impedance for different width values for metal... 9 Figure 4.51: Cross-sectional view of the microstrip of the transition elaborating the microstrip signal width... 9 Figure 4.5: Simulated S11 parameters versus frequency for different width values of the microstrip signal line Figure 4.53: Simulated S1 parameters versus frequency for different width values of the microstrip signal line Figure 4.54: Simulated S11 parameters for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length Figure 4.55: Simulated dissipation loss for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length PETER KYABAGGU [ ] Page 7

8 Figure 4.56: Simulated S1 parameters for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length Figure 4.57: Simulated characteristic impedance for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length Figure 4.58: Design Cross-sectional view of: (a) CPW region, (b) Transition region and (c) Microstrip region of the CPW-to-microstrip transition transmission line Figure 4.59: Simulated S11 parameters for design 3 at different lengths of the transition region Figure 4.6: Simulated S1 parameters for design 3 at different lengths of the transition region Figure 4.61: Simulated S11 parameters of design 3 at different transmission line lengths Figure 4.6: Simulated S1 parameters of design 3 at different transmission line lengths Figure 4.63: Simulated S11 parameters for design 3 with and without a transition region and with both M1 and M3 grounds tapered Figure 4.64: Simulated design and 3 CPW to MS transition with no bonding pads; (a) S11, (b) S1...1 Figure 4.65: Simulated design and 3 CPW to MS transition with bonding pads; (a) S11, (b) S1...1 PETER KYABAGGU [ ] Page 8

9 LIST OF TABLES Table 4.1: Metal thickness measurements for metal 1, and 3 layers following the fabrication of wafer: Sample no Table 4.: Polyimide thickness measurement following fabrication of wafer sample no Table 4.3: Measurement resistances between metals following fabrication of wafer sample no. 5 7 Table 4.4: Dimensions for the CPW to microstrip transition transmission line for designs 1, and Table 4.5: Desired and Actual fabricated data of design 1for the CPW to microstrip transition transmission line Table 4.6: Measurement results of the M1-M3 interconnect test Table 4.7: Impedance values of different transition length sizes at and 3 GHz Table 4.8: Impedance values of different signal line width sizes at, 5 and 3 GHz Table 4.9:Impedance values of different CPW gap sizes at, 5 and 3 GHz Table 4.1: Comparison of the published data with this work...1 PETER KYABAGGU [ ] Page 9

10 ABSTRACT Coplanar Waveguide (CPW) and Microstrip (MS) transmission line structures using threedimensional multilayer technology have been designed and fabricated. An analytical model has been developed to predict the characteristic impedance, effective dielectric constant and dissipation loss. These are then used to verify those data from ADS E.M simulator, Momentum. On-wafer measurements and simulation data up to 5 GHz have provided a wide range of impedances from 1 to 7Ω. This work also analyses the attenuation of the CPW line considering conductor and dielectric losses. A novel via-less multilayer coplanar waveguide (CPW) to microstrip transition is discussed and the design rules based on simulation and experimental data results are presented. The proposed transition design demonstrates a maximum insertion of 1dB over the frequency range from 7 to 38 GHz and a return loss which is lower than 14 db from 11 to 66GHz. Fabrication techniques to realise various compact microwave components for low cost applications were employed to lower the cost and simplify the process. A variety of applications of these components could be found due to their compatibility with RF systems on chip, low cost, low loss performance and their ease of fabrication. PETER KYABAGGU [ ] Page 1

11 DECLARATION That no portion of the work referred to in the thesis has been submitted in support of an application for another degree or qualification of this or any other university or other institute of learning. COPYRIGHT STATEMENT i. The author of this thesis (including any appendices and/or schedules to this thesis) owns certain copyright or related rights in it (the Copyright ) and s/he has given The University of Manchester certain rights to use such Copyright, including for administrative purposes. ii. Copies of this thesis, either in full or in extracts and whether in hard or electronic copy, may be made only in accordance with the Copyright, Designs and Patents Act 1988 (as amended) and regulations issued under it or, where appropriate, in accordance with licensing agreements which the University has from time to time. This page must form part of any such copies made. iii. The ownership of certain Copyright, patents, designs, trademarks and other intellectual property (the Intellectual Property ) and any reproductions of copyright works in the thesis, for example graphs and tables ( Reproductions ), which may be described in this thesis, may not be owned by the author and may be owned by third parties. Such Intellectual Property and Reproductions cannot and must not be made available for use without the prior written permission of the owner(s) of the relevant Intellectual Property and/or Reproductions. iv. Further information on the conditions under which disclosure, publication and commercialisation of this thesis, the Copyright and any Intellectual Property and/or Reproductions described in it may take place is available in the University IP Policy (see in any relevant Thesis restriction declarations deposited in the University Library, The University Library s regulations (see and in The University s policy on presentation of Theses. PETER KYABAGGU [ ] Page 11

12 ACKNOWLEDGEMENTS I would like to express my gratitude to my supervisor Professor Ali. A. Rezazadeh for his continual advice and motivation for the entirety of the project. I would also like to thank members of our research group especially Doctor Qing Sun for their assistance throughout the project. Finally I would like to thank my family and friends for their undivided support through the length of this project. PETER KYABAGGU [ ] Page 1

13 MPHIL [SEPT. 1] INTRODUCTION Chapter 1: INTRODUCTION Recently, there has been an increasing demand in microwave integrated-circuit technologies to lower the dissipation loss of transmission lines in order to improve the noise figure and efficiency performance of such circuits like low- noise and power amplifiers [1]. Low loss transmission lines are also desirable to create low-loss passive components such as filters, baluns and couplers. In a CPW design, via holes are not necessary and fragile semiconductors do not to be excessively thin. In addition, the individual component sizes are unlimited [1]. These factors can greatly reduce the processing costs. For applications such as reduced-size couplers and non-linear transmission lines, it is desirable to use high impedance transmission lines. On the other hand, ultra-low transmission lines are required in matching networks where low impedance devices such as power field-effect transistors (FETs) or photodiodes are used. The range of maximum impedance is limited by the practical size of the slot and the width of the centre line, while a practical low limit is imposed by fabrication of the very narrow slot, and the high losses resulting from current crowding from the edges [1]. One problem associated with the CPW is that the ground must be on either side of the signal lines, which increases the complexity of the circuit designs. A potential solution to this is to utilize a multilayer technique in which several metal layers are sandwiched by insulators. This technique gives microwave engineers the flexibility in designing multilayer structures with improved circuit performance [1]. As demand for high density and high performance microwave and millimetre wave circuits increases, RF devices become smaller and more highly integrated. The microstrip is one of the most commonly used transmission line in RF circuit design due to its compact size, ease of fabrication and low cost []. Currently low cost and rapid characterization of microwave integrated circuits requires coplanar waveguide probe pads. Thus, a transition from the CPW probe pads to the microstrip line is required in order to achieve the highest possible integration while maintaining each circuit s effective performance. The transition is also needed to reduce the mis-match and coupling between circuit elements []. In the past, different types of transitions have been developed which include transitions by electrical contact [3] and ones that use electromagnetic coupling [4]. Electrical contact transitions usually call for via holes, bonding wires or abrupt steps in the conductor. They provide compact size and wide bandwidth despite a majority of them involving some PETER KYABAGGU [ ] Page 13

14 MPHIL [SEPT. 1] INTRODUCTION degree of mechanical complexity [4]. Electromagnetic coupling transitions require no via holes or wire bonds, though most of them suffer from narrow bandwidth and larger size. The electromagnetic coupling occurs in the overlap region between the coplanar waveguide and the microstrip. Recently some wider bandwidth transitions have been studied for microwave circuit applications [5, 6]. The major advantage of the multilayer techniques is that with either conventional or multilevel technique, one can not only design the miniature microstrip lines, but can also employ 3D passive components []. At millimetre frequencies, CPWs provide many solutions to the design of low-loss, uniplanar, low-cost and compact integrated circuits. However many applications such as on-wafer measurements of microstrip circuits or vertically integrated circuits require the flexibility to use combination of planar technologies. Thus this leads to the development of transition structures such as CPW to microstrip transition. The aims and objectives of this project include; Design, modelling, simulation, fabrication and high frequency characterisation of multilayer transmission lines. Developing novel simulation techniques to improve the accuracy of the results obtained and, comparing the results with the data already published and demonstrating the merits of 3D multilayer MMICs. Analysing and establishing optimisation techniques for the multilayer components and exploiting the available flexibility in multilayer technology in realising low loss performance chips at low costs with reduced sizes and are easy to fabricate. This report analyses the two most popular transmission lines in MMICs which are the coplanar guides and microstrip transmission lines. The transmission line theorem is analysed to build a solid understanding of the concepts underlining the transmission lines. The report gives an in-depth analysis of the transmission lines and their extracted parameters. The report also covers an in-depth analysis of the losses in CPW transmission lines which include the dielectric and conductor losses. It further investigates the performance of a CPW to microstrip transition design using both the simulated and measured results. The E.M. simulator in ADS software, Momentum is used to simulate and analyse the design structures. PETER KYABAGGU [ ] Page 14

15 MPHIL [SEPT. 1] INTRODUCTION 1.1: REPORT ORGANISATION Chapter 1: Introduction This chapter gives a general overview of the research work with the aims and objectives to be realised. Chapter : Literature Review For any research work before being undertaken requires a strong foundation or background in-depth study. This chapter gives a detailed insight into the transmission line theory, the most popular transmission lines which are the CPW and microstrip and their extracted parameters, attenuation of a CPW line, a study of CPW to microstrip transition and scattering parameters. Chapter 3: Experimental Techniques The experimental techniques and methodologies which include the ADS simulator and fabrication procedure of multilayer components are present in chapter three. Calculation methodologies for parameter extraction using the s-parameters are detailed in this chapter. Different types of calibration techniques have also been studied. Chapter 4: Results and Discussions This chapter discusses and analyses the simulation and experimental results for the multilayer CPW line, parameter extraction results, the attenuation of the CPW line and different designs of CPW to microstrip transition study. It further analyses the on-wafer measurement results and compares this works data with the already published data. Chapter 5: Conclusions and Future work This chapter details the key objectives achieved in this work and identifies and discusses some aspects of the future work. PETER KYABAGGU [ ] Page 15

16 LITERATURE REVIEW Chapter : LITERATURE REVIEW.1: INTRODUCTION Chapter gives an overall discussion on the background theory of transmission lines and also discusses the main transmission line related parameters which include the characteristic impedance, attenuation and effective dielectric constant. Later in the chapter, a comparison of the two most popular types of transmission lines; coplanar waveguide and microstrip is made. Also in the chapter, an S-parameter discussion is made and previous work that has already been published is discussed in relation to this project s work..: TRANSMISSION LINE THEORY The transmission line theory bridges the gap between circuit theory and a complete field analysis. Transmission lines can be approximated by a distributed parameter network with parameters distributed throughout the entire line. They further do have the properties of inductance, capacitance and resistance just as the more conventional circuits do [4]. Circuit analysis assumes that the physical dimensions of a network are much smaller than the electrical wavelength, while transmission lines may be a considerable fraction of a wavelength, or many wavelengths in size hence making the electrical size the key difference between circuit theory and transmission line theory [4]. Thus a transmission line is defined as a material medium or structure that forms all or part of a path from one place to another for directing the transmission of energy [3]. It s often represented as a two-line line, since they always have at least two conductors as shown in figure.1. Figure.1: Equivalent circuit of a transmission line The parameters in figure are defined as follows: R is the resistance of the conductors per unit length in Ω/m L is the inductance of the conductors per unit length in H/m C is the capacitance between the conductors per unit length in F/m G is the conductance of the dielectric media per unit length in S/m PETER KYABAGGU [ ] Page 16

17 LITERATURE REVIEW From figure.1, Kirchhoff s voltage law when applied gives [8]: i( z, t) v ( z, t) R z i( z, t) L z v( z z, t) (.1) t By dividing (1) by z and taking the limit as z, gives the following simplified transmission line equation: v( z, t) i( z, t R i( z, t) L ) z t (.) Kirchhoff s current law when applied gives: ( z z, t) i ( z, t) G z v( z z, t) C z i( z z, t) (.3) t By dividing (.) by z and taking the limit as z, gives the following simplified transmission line equation: i( z, t) v( z, t G i( z, t) C ) z t (.4) The above equations (.1) and (.3) can be simplified if the voltage v ( t, z) and current i ( z, t) are time-harmonic cosine functions: jwt v( t, z) Re( V ( z) e ) (.5a) jwt i( z, t) Re( I( z) e ) (.5b) In [8], equations (.5a) and (.5b), the general transmission line equations (.1) and (.3) are written as: dv( z) dz ( R jl) I( z) (.6a) di( z) ( G jc) V ( z) (.6b) dz PETER KYABAGGU [ ] Page 17

18 LITERATURE REVIEW The derivatives for the above equations (.6a) and (.6b) are [8]: d V ( z) V ( z) (.7a) dz d I( z) I( z) (.7b) dz Where is defined as: j ( R jl)( G jc) (.8) is the propagation constant., the real part of propagation constant is called the attenuation constant in Np/m., the imaginary part of the propagation constant is called the phase constant of the line in rad/m. V (z) and I (z) are found to be [8]: V z z ( z) V ( z) V ( z) V e V e (.9a) I z z ( z) I ( z) I ( z) I e I e (.9b) The two terms in each equation represent the travelling waves in the positive and negative directions along z respectively..3: TRANSMISSION LINE EXTRACTED PARAMETERS The transmission line extracted parameters include; the characteristic impedance, the effective dielectric constant and dissipation loss. Under the dissipation loss parameter, the conductor loss and the dielectric loss are discussed in-depth in sub chapters and : CHARACTERISTIC IMPEDANCE The characteristic impedance of a transmission line is the ratio between voltage and current for an infinitely long line. It s the most important parameter in the circuit design and it s derivation from first principles using the classical Telegrapher s transmission line equation is as follows [9]. PETER KYABAGGU [ ] Page 18

19 LITERATURE REVIEW Applying equation (.5a) to (.9a) gives [9]: z z I( z) ( V e V e ) (.1) R jl Therefore the characteristic impedance is defined as: V V Z (.11) I I Comparing equations (.9b) and (.1) gives: R jl Z (.1) By substituting equation (.8) into (.1), we get: R jl Z (.13) G jc Equation (.13) represents Z by R, L, G, C and frequency. Vp.3.: PHASE VELOCITY, Phase velocity is a speed at which a point of fixed phase propagates, which is not always the speed that electromagnetic information travels [1]. The phase velocity in a transmission line is defined as [1]: dz d t cons V P ( ) (.14a) dt dt Where; t cons z, cons is a constant. The wavelength is defined as the distance between two successive wave peaks [9]. = (.14b) PETER KYABAGGU [ ] Page 19

20 LITERATURE REVIEW Therefore substituting equation (.14b) into (.14a), the phase velocity V p is expressed as: V p f (.14c) Where f is the signal frequency of the transmission line. The phase velocity in a medium is 1 V p and the speed of light, c = 1 [9]. Therefore the phase velocity in a transmission line according to dielectric constant r is expressed as [1]: V p 1 c (.14d) r, eff r, eff Where; is the permittivity of the material is the permittivity of free space c is the speed of light r,eff is the effective dielectric constant is the permeability of the dielectric eff.3.3: EFFECTIVE DIELECTRIC CONSTANT, In quasi-static analysis, the wave propagation mode in a transmission line is assumed to be TEM, and the effective dielectric constant is expressed in terms of line capacitance [7]. It is derived by forming the ratio of capacitance of the transmission line with and without the dielectric filter. eff C [7] C air Where C is the total transmission line capacitance per unit length with the presence of the dielectric substrate, and C air is the line capacitance with air as the substrate. PETER KYABAGGU [ ] Page

21 LITERATURE REVIEW.3.4: ATTENUATION OF A CPW TRANSMISSION LINE An electromagnetic wave suffers attenuation due to conductor and dielectric losses when it propagates along a CPW line. Dielectric and conductor losses account for the attenuation in transmission lines. The attenuation caused by the finite conductivity of the conductors is accounted for by the series resistance R, while the attenuation caused by dielectric loss is modelled by the shunt conductance G in the distributed circuit model of the transmission line [11]. In practice, all transmission lines have loss due to finite conductivity or lossy dielectric and these losses are usually small. In this sub chapter, we will study the effects of loss on transmission line behaviour and show how the attenuation constant, conductor loss and dielectric loss can be calculated. In [11], the separate attenuation constants are given as; R c (.15a) Z c G d Y c (.15b) The total dissipation loss in a CPW transmission line is equal to the conductor losses, plus the dielectric losses,. d c d The total CPW attenuation is obtained using the conformal mapping method in [11] which is expressed as the sum of the attenuation due to the conductor losses in the conductor strip and the attenuation due to dielectric losses in the substrate : DIELECTRIC LOSS, d The dielectric losses in the transmission line are created by atomic mechanisms. They are reduced by the presence of the (lossless) air in the cross-section. Derivation of the Dielectric loss equation The attenuation constant for dielectric constant is given by: G d Y c Where G is shunt conductance in the distributed circuit model of the transmission line c PETER KYABAGGU [ ] Page 1

22 LITERATURE REVIEW ' '' The dielectric loss arises when the permittivity is complex, that is; j The loss tangent; [11]. " tane e is the usual given parameter for a dielectric material ' Maxwell s equation (.16a) shows that " can be viewed as the effective conductivity of a lossy dielectric when the ohmic conductivity is zero [11]. ' '' H j E E j E ( ) E (.16a) is normally assumed to be zero expect for a semiconductor substrate, in which case, it will depend on the doping level. The electric energy stored in the substrate region of the transmission line is given by: W e1 ' 4 E E dv (.16b) V1 Where V 1 is the volume of the substrate region per unit length of the line The power loss due to the dielectric loss is given by [1]: P l " E E dv (.16c) V1 Thus, Pl W e1 gives us: Pl W e1 " E E dv V " 1 ' E E dv ' 4 V1 (.16d) If the dielectric filled all of the space around the transmission line, W CV and e1 4 P GV l thereby obtaining G as; GV CV 4 " ' GV 4 " CV ' " G C ' (.16e) PETER KYABAGGU [ ] Page

23 LITERATURE REVIEW However for a partially filled line some of the electric energy is located in the air region that occupies a volume, V [1]. CV ' We 1 We E E dv E E dv V1 V (.16f) If we had an air-filled line, we write the equation as: CV a We 1 We E E dv E E dv V1 V (.16g) There is no simple exact way to determine how the electric energy is split between the regions. There is however an approximate method to find the division of the total energy between the two regions and is based on an assumption that the volume integrals of ( E E ) in the two cases are approximately the same [11]. From the above assumption, 'I I CV 1 (.16h) I1 I CaV (.16i) Where I 1 and I represent the values of the integrals over V 1 and V respectively. The equations (.16h) and (.16i) are solved for I 1 and I to give; I 1 C C a V ' I ' rca C V ' ' ' Where r In [1], the fraction of the total energy in the dielectric region is given as: C C V C C ' a ' r ' ' ' ' ri 1 r ( a ) r e 1 r q ' ' ' ' ri1 I ' C C ( r 1) C e r 1 a rca C e r V V ' ' (.16j) Where C eca and q is the filling factor. The parameter q is the ratio of the integral of ( E E ) over the volume V 1 to the integral over the total volume V 1 V, that is q I /( I I ) 1 1 PETER KYABAGGU [ ] Page 3

24 LITERATURE REVIEW Hence an estimate for G is given as: 1 G C ' " r e r ' ' e r 1 r (.16k) In [1], by using Z c we obtain, C GZ d 1 tan ' c r e ' r 1 e e (.16l) Dielectric loss is expressed as [13]; r d q tane (Np/m) (.16m) eff Where; = free wavelength in metres r = relative permittivity of substrate tan e = dielectric loss tangent q = filling factor eff 1 q( 1) (.16n) r 1 1 K( k1) K( k) q (.16o) 1 K( k ) K( k ) 1 Deriving the Elliptical integrals 1 K( k) F m F, k n 1!! K( k) k n n!! K( k) 1 F 1, 1 ;1; k 1 n Where F, the elliptic integral of the 1 st kind is: sin K m t mt dt m d PETER KYABAGGU [ ] Page 4

25 k 1 sinh W 4H sinh ( W G) 4H (.17a) (.17b) k W W G LITERATURE REVIEW 1 k1 1 k1 (.17c) 1 k 1 k (.17d).3.4.: CONDUCTOR LOSS, c The conductor losses in transmission lines are a result of several contributing factors which include the actual conductance of the material, the frequency-dependent skin effect losses, and the surface roughness losses caused by the lengthened path at the surface [14]. The assumption made in deriving the expression for the attenuation constant due to conductor loss c is that the thickness of the CPW conductor, t is far greater than the skin depth in the metal strip conductor [13]. In [13], the attenuation constant c given as: Rc Rg c Np/m (.18a) Z Where; R c is the series resistance of the center strip conductor R g is the distributed series resistance Z is the characteristic impedance of the transmission line R s 4W 1 k Rc In kin 4 W (1 k ) K ( k) t 1k (.18b) R s 1 PETER KYABAGGU [ ] Page 5

26 LITERATURE REVIEW The skin depth varies as the inverse square root of the conductivity. Hence better conductors have a reduced skin depth. The overall resistance of the better conductor is lower even though the skin depth is less. The attenuation caused by the finite conductivity of the conductors is accounted for by the series resistance. Therefore in [13]; R s f (.18c) Where; R s = surface resistivity ( ) = skin depth = conductivity (Siemens/m).3.5: QUALITY FACTOR For the case of a transmission line, quality factor, Q is the ratio of average energy stored in a system to the energy lost per cycle. Q is also a measure of how lossless a capacitor is. It s a dimensionless parameter that is given by [15]: average energy stored Q (.19a) energy lost / second Figure.: The parallel RLC circuit [15] For the parallel RLC circuit, shown in figure., the input impedance is given as: Zin 1 1 R jl jc 1 (.19b) The complex power delivered to the resonator is [15]: in Pin VI Z I V Zin PETER KYABAGGU [ ] Page 6

27 LITERATURE REVIEW 1 1 j Pin V jc R L (.19c) The power dissipated by the resistor, R is: P loss 1 V (.19d) R The average electric energy stored in the capacitor, C is 1 We V C (.19e) 4 The average magnetic energy stored in the inductor, L is L Wm I L V 4 4 L Where I L is the current through the inductor The complex power P in can be rewritten as: P P j( W W ) (.19f) in loss m e The input impedance can be expressed as: Z in Pin R I Since resonance occurs whenw m W e, the resonant frequency is given as: 1 (.19g) LC From the definition of Q, and equations, the quality factor of the parallel resonant circuit can be expressed as [15]: W m R Ploss L Q RC (.19h) The practical resonator that is often used in CPW circuits consists of an open-circuited length of the transmission line. PETER KYABAGGU [ ] Page 7

28 LITERATURE REVIEW Z in Z, α, β Figure.3: An open-circuited length of a lossy transmission line [15] The input impedance of an open-circuited line of length l is: l Z Z coth( j) l Z in 1 j tan l tanh l tanh l j tan l (.19i) For the case of resonance, assume that l at and let. Then, l and so; tan l and tanl l If, therefore the input impedance is given as: Z in l Z j( ) (.19j) The resistance of the equivalent RLC circuit is given as [15]: Z R (.19k) l The capacitance of the equivalent circuit is given as [15]: C (.19l) Z The inductance of the equivalent circuit is given as [15]: 1 L C (.19m) Hence, quality factor, Q RC (.19n) Substituting for R and C in equation (.19n), Q can also be expressed as [15]: Q l (.) Since at resonance l PETER KYABAGGU [ ] Page 8

29 LITERATURE REVIEW.3.6: DIELECTRIC LOSS TANGENT A way of describing dielectric losses is to consider the permittivity as a complex number which is defined as: ' '' j (.1a) Where ' and " are the real and imaginary components of permittivity respectively Maxwell s equation for a magnetic field can be written as; H J E jd (.1b) i s ' " H Ji se j j E " ' H Ji s E j E (.1c) " If (.1d) e s Where; e is the effective conductivity (value usually specified in data sheets) s is the static conductivity " is the conductivity due to an alternating field Therefore from Maxwell s equation, the loss tangent is derived as: ' e H Ji j 1 j E ' (.1e) ' H Ji j 1 j tan e E (.1f) The dielectric loss tangent is therefore defined as the ratio of the lossy reaction to the electric field E in Maxwell s equation to the lossless reaction. This is expressed as: " e tan (.1g) e ' Comparing the equations (.1e) and (.1f), the dielectric loss tangent is expressed as: e tane (.) ' PETER KYABAGGU [ ] Page 9

30 LITERATURE REVIEW.3.6.1: RELATIONSHIP BETWEEN LOSS TANGENT AND QUALITY FACTOR The relationship between the dielectric loss tangent and quality factor is determined by a parallel RL circuit. Since the quality factor under the equivalent model is equal to the inductor s reactance divided by the inductor s parallel resistance (R p ), the reactance is given as [16]: X L fl (.3a) L Where; X L = absolute value of the reactance in ohms f = frequency in Hertz L = inductance in Henries = angular frequency I R P δ -jx L is the loss angle Figure.4: The RL circuit impedance phase diagram From figure.4, the loss tangent is given as: RP RP RP tan X L f L L (.3b) 1 Since;, (.3c) LC P 1 Q RPCP (.3d) tan Where; C is the equivalent parallel capacitance and R is the equivalent parallel P resistance The loss tangent is also referred to as dissipation factor or the reciprocal of the quality factor. P PETER KYABAGGU [ ] Page 3

31 LITERATURE REVIEW.3.7: DISSIPATION FACTOR The dissipation factor, D is the ratio of the power loss in a dielectric material to the total power transmitted through the dielectric. It s also the reciprocal of the quality factor or equal to the loss tangent. '' 1 D tan (.4) ' Q.4: MICROSTRIP TRANSMISSION LINE The microstrip is the most common type of transmission line used in MMICs. It consists of a metal track on a dielectric substrate with an infinite ground plane on the back surface as shown in figure.5. Signal line W S.I. GaAs Substrate H Metal ground plane In figure.5; Figure.5: Microstrip transmission line H is the height of the substrate layer W is the width of the conductive signal line The conductive signal line width and substrate height are of finite dimensions, and the substrate width and length are assumed to be infinite. Magnetic field lines Metal track Electric field lines S.I. GaAs Substrate Ground Figure.6: Cross-sectional view of a microstrip transmission line showing electric and magnetic field patterns. PETER KYABAGGU [ ] Page 31

32 LITERATURE REVIEW The electric fields are confined mainly underneath the track but extend a significant distance away from the edges of the track. A track with width similar to the substrate height has more parallel electric field underneath the track, similar to a parallel plate capacitor hence making it more capacitive [17]. A track with width much narrower than the substrate height has magnetic field lines tightly packed that look more similar to a simple wire, hence behaving more inductively [17]..5: COPLANAR WAVEGUIDE TRANSMISSION LINE A coplanar waveguide is the second most common type of planar transmission line which is a good alternative to microstrip. It consists of a metal track and ground planes on the same surface of a dielectric substrate with a fixed gap between the metal track and the ground planes [17]. CPWs offer low-dispersive microwave propagation properties and backside processing is not required. Metal track Ground G W G Ground S.I. GaAs Substrate H In figure.7; Figure.7: CPW transmission line H is the height of the substrate layer W is the width of the metal track G is the gap width between the metal track and ground plane The metal track width and gap are of finite dimensions, and the ground plane and substrate s height, width, and length are assumed to be infinite. Magnetic field lines Electric field lines Ground Metal track Ground S.I. GaAs Substrate Figure.8: Cross-sectional view of a CPW transmission line showing electric and magnetic field patterns. PETER KYABAGGU [ ] Page 3

33 LITERATURE REVIEW The electric and magnetic fields are in both the dielectric and the air above, so the mode of propagation in CPW is also quasi-tem [17]. The structure of the electric field lines allows both series and shunt elements to be integrated into the transmission line without the need of drilling holes into the substrate [18]. To increase the characteristic impedance of CPWs, the gap must be widened and the center metal track reduced, which has the effect of increasing the conductivity loss. Elevation of the center metal track however can increase the impedance without reducing the center metal track width. [19].5.1: ADVANTAGES OF COPLANAR WAVEGUIDES Some of the significant advantages of CPWs over microstrip transmission lines are: In CPWs, the ground connections can be made on the surface of the substrate (there is no need for via holes which are used to make ground connections in microstrip circuits. CPW grounds usually have much less inductance than microstrip vias. CPW conductors can be very narrow, even with low characteristic impedances. (Low-impedance microstrip lines are often impractically wide). CPW is much less sensitive to substrate thickness than microstrip. (CPW monolithic circuits often are not thinned at all) [7]. CPW facilitates easy shunt as well as series surface mounting of active and passive devices. The above, as well as several other advantages make the CPW ideally suited for MMICs and many other applications [5]..5.: DISADVANTAGES OF COPLANAR WAVEGUIDES Although CPWs have significant advantages over microstrip lines, they also have the following disadvantages: The ground inductance for shunt elements is quite low for CPW. In terms of current size, CPWs effective dielectric constant is low [5]. If a CPW chip is mounted face-up, a severe height discontinuity may develop. CPW circuits can be lossier than comparable microstrip circuits if one needs a compact layout [5]. PETER KYABAGGU [ ] Page 33

34 LITERATURE REVIEW.6: CPW TO MICROSTRIP TRANSITION As demand for high density and high performance microwave and millimetre wave circuits increases, RF devices become smaller and more highly integrated. The microstrip is one of the most commonly used transmission line in RF circuit design due to its compact size, ease of fabrication and low cost []. Currently low cost and rapid characterization of microwave integrated circuits requires coplanar waveguide probe pads. Thus, a transition from the CPW probe pads to the microstrip line is required in order to achieve the highest possible integration while maintaining each circuit s effective performance. The transition is also needed to reduce the mis-match and coupling between circuit elements []. In the past, different types of transitions have been developed which include transitions by electrical contact in [1] and ones that use electromagnetic coupling like in []. Electrical contact transitions usually call for via holes, bonding wires or abrupt steps in the conductor. They provide compact size and wide bandwidth despite a majority of them involving some degree of mechanical complexity []. Electromagnetic coupling transitions require no via holes or wire bonds, though most of them suffer from narrow bandwidth and larger size. The electromagnetic coupling occurs in the overlap region between the coplanar waveguide and the microstrip. Recently some wider bandwidth transitions have been studied for microwave circuit applications like in [3] [4]. CPW Microstrip Ground Ground W CPW G Signal W gnd Ground Tapered ground planes Transition Figure.9: Aerial view of a CPW to microstrip transition PETER KYABAGGU [ ] Page 34

35 LITERATURE REVIEW W gnd W signal G T M3 M3 M3 P P1 M1 S.I. GaAs Substrate Figure.1: Cross-sectional view of CPW to microstrip transition (through the middle of figure.9) Figure.1 shows a sketch of the aerial view of the CPW to microstrip transition transmission line while figure.1 shows the cross-sectional view of CPW to microstrip transition transmission line. This work presents the simulated and experimental results of a transition interconnection between a multilayer CPW and a multilayer microstrip based on electromagnetic coupling. The design is uniplanar; i.e. it uses the coupling between the ground plane of the microstrip and the ground planes of the coplanar line. It is also simple to fabricate as it does not rely on via holes or other micromachining techniques..7: SCATTERING PARAMETERS Scattering parameters refer to a scattering matrix which is a mathematical construct that quantifies how RF energy propagates through a multi-port network [5]. S-parameters are all about power; both reflected and incident in a linear two port system. S-parameters are important in microwave design because they are easier to measure and to work with at high frequencies than other kinds of two port parameters [5]. The S-parameters are complex because both the magnitude and phase of the input signal are changed by the network. They come in a matrix, with the number of rows and columns equal to the number of ports. The s-matrices for one, two and three-port networks can be represented as follows: ( S 11 ) One-port S S 11 1 S S 1 Two-port S S S S S S 1 3 S S S Three-port PETER KYABAGGU [ ] Page 35

36 LITERATURE REVIEW The first number in the subscript refers to the responding port, while the second number refers to the incident port. Thus S 1 means the response at port 1 due to a signal port [5]. Therefore the N-port used for this project is the two-port network s-parameters because they are easy to model with the ADS Momentum simulation software [5]. A two-port examination shows that s-parameters relate travelling waves (power) to a twoport s reflection and transmission behaviour. Therefore since the two-port network is imbedded in characteristic impedance, Z these waves can be interpreted in terms of normalized voltage or current amplitudes [17]. This is shown in figure: Z S 1 a 1 a S 11 S b 1 b Z L S 1 Figure.11: Two-port network model The s-parameter definition is as follows: b1 S11a1 S1a b S1a1 Sa a and b are independent and dependent variables. S 11 = input reflection coefficient with the output port terminated by a matched load (Z L = Z sets a = ) S = output reflection coefficient with the input port terminated by a matched load (Z S = Z sets a 1 = ) S 1 = forward transmission (insertion) gain with the output port terminated by a matched load (Z L = Z sets a = ) S 1 = reverse transmission (insertion) gain with the input port terminated by a matched load (Z S = Z sets a 1 = ) PETER KYABAGGU [ ] Page 36

37 EXPERIMENTAL TECHNIQUES Chapter 3: EXPERIMENTAL TECHNIQUES 3.1: MOMENTUM SIMULATOR IN ADS Momentum is a.5-d electromagnetic simulator used in the ADS package. Momentum is employed in this work to calculate the S-parameters that are used to obtain the results for characteristic impedance, dissipation loss and effective dielectric constant for the MMIC components. (a) (b) (c) (d) Figure 3.1: Steps taken to carry out a simulation using ADS momentum simulator. (a) Structure of a conventional CPW drawn in momentum. (b) Momentum window in which the CPW substrate layers are modified. (c) Momentum window in which the CPW layout layers are modified. (d) Momentum window to initialise and run the simulation. Figure 3.1(a) shows the main page layout of the ADS simulator where various structures can be drawn. The substrate of a component can be modified by clicking Momentum in the main tool bar; then from the drop-down menu clicking substrate and then finally create/modify which brings up a popup window as shown in figure 3.1(b). In the PETER KYABAGGU [ ] Page 37

38 EXPERIMENTAL TECHNIQUES create/modify window shown in figure 3.1(b), a substrate can be added or deleted and at the same time the thickness of the substrate can be set too. Figure 3.1(c) shows a tab section of the create/modify window in which the layout layers of your structure are modified. The simulation of the structure is initialized by clicking Simulation in the momentum drop-down menu; then clicking s-parameters to open the simulation control window shown in figure 3.1(d). 3.: EXTRACTION OF TRANSMISSION LINE PARAMETERS USING S-PARAMETERS In this sub-chapter, the calculation methodologies used in the ADS Momentum are generated from the S-parameters. The transmission line parameters extracted using the S- parameters are dependent on the length of the transmission line. 3..1: CALCULATION METHODOLOGY FOR THE CHARACTERISTIC IMPEDANCE Z Z (1 S ) S 11 1 Zsys (3.1) (1 S11) S1 Where Z sys = 5 [19]. Equation (3.1) is inputted in the ADS Momentum to gain the characteristic impedance waveform. 3..: CALCULATION METHODOLOGY FOR EFFECTIVE DIELECTRIC CONSTANT effective The S-parameter responses measured from a lossy matched transmission line with parameters and Z in a Z impedance system are [6]: [ 1 ( Z Z )sinhl ZZ S] Ds ZZ ( Z Z )sinhl (3.a) Where [6]; D s = ZZ coshl ( Z Z ) sinhl PETER KYABAGGU [ ] Page 38

39 EXPERIMENTAL TECHNIQUES Since matrix (3.a) is symmetrical it contains two independent linear equations. This S- parameter matrix is converted to ABCD parameters which incorporate the interconnect propagation constant () and the impedance Z () more explicitly. The equivalent ABCD matrix (3.b) is [6]: coshl Z sinh l [ ABCD ] sinh l (3.b) ccoshl Z In [6] the relationship between the S-parameters and the ABCD matrix is given as: A (1 S B (1 S C (1 S D (1 S S S S S S) /(S S) Z S) /(S /(S S) /(S ) Z ) 1 ) ) (3.c) Where; S S11S S1S1 [6] Combining equations (3.a) to (3.c) yields: e l 1 S11 S S 1 1 ( S 11 S1 1) (S (S ) 1 11 ) (3.d) Where; S 11 is the power reflected from port 1 S 1 is the power transmitted from port to port 1 l is the physical length of the transmission line in millimetres. is made the subject in equation (3.d). 1 1 S11 S1 ( S11 S1 1) (S11) l In (3.e) S1 (S1) Therefore from equation (.8), 1 1 S11 S1 ( S11 S1 1) (S11) Im ag( ) Im ag l In (3.f) S1 (S1) Equation (3.f) is inputted in the ADS Momentum to generate the effective dielectric constant waveform. PETER KYABAGGU [ ] Page 39

40 EXPERIMENTAL TECHNIQUES 3..3: DISSIPATION LOSS The dissipation loss or attenuation in a transmission line is equal to the conductor losses, c plus the dielectric losses,.. Dissipation loss is defined as the ratio of d output power ( P out ) and incident power ( P in ) of a transmission line [7]. c d P max P in P out P ref Transmission line Figure 3.: Definition of dissipation loss in a transmission line [7]. In figure 3., P max is the maximum power and P ref is the reflected power. Dissipation loss Pout Vout V ( ) l ( e ) (3.3a) Pin Vin V ( l) Where l is the length of the transmission line Dissipation loss in db 1log( e ) loge l l (3.3b) The dissipation loss is obtained using S-parameters as follows: (Re al( )) from equation (.8) 1log Re al( ) Dissipation loss 1logRe al l 1 1 S11 S In S1 1 ( S 11 S1 1) (S (S ) 1 11 ) (3.3c) Second formula for dissipation loss Dissipation Loss = 1 Where; Pout = 1 S log S1 11 S1 and transmitted power to the device = 1 S 11 (3.3d) Either equation (3.3c) or (3.3d) is inputted in the ADS Momentum to generate the dissipation loss waveform. PETER KYABAGGU [ ] Page 4

41 EXPERIMENTAL TECHNIQUES 3.3: FABRICATION Due to the monolithic nature of the MMICs, they are manufactured as small parts of a whole wafer of the semiconductor material. The processing of the wafers involves forming microscopic features on their surface; therefore for this reason all the equipment is installed in a clean room environment to prevent and moisture from affecting the features. This makes the fabrication process very time consuming and costly and often the correct functionality of the circuit cannot be checked until the whole processing is complete. Therefore it is imperative that the design of the chip is made right first before being committed to the fabrication process. The most common choice of dielectric s used in MMIC applications are silicon nitride (Si 3 N 4 ), silicon dioxide (SiO ) and polyimide. Polyimide dielectric can be formed by spin on the substrate surface and cured afterwards. By theory, any polyimide thickness can be formed by changing the viscosity of the material. Thus thick polyimide can be obtained without the cracking problems encountered in Si 3 N 4 or SiO films by careful curing process [4]. Polyimide has a high dielectric breakdown strength, high resistivity and low dielectric constant making this dielectric suitable a variety of MMIC fabrications [4]. In this work, the passive components are fabricated on a 3 inch GaAs wafer using the MMIC process. The multilayer passive components consist of a thin layer of polyimide (5 µm) deposited over the GaAs substrate (6 µm) : MULTILAYER MMIC FABRICATION PROCEDURE FOR PASSIVE COMPONENTS The fabrication procedure has four main process involved which are as follows; Photolithography Metal deposition Polyimide deposition Etching PETER KYABAGGU [ ] Page 41

42 EXPERIMENTAL TECHNIQUES SAMPLE CLEANING Use Acetone Use Methanol Use D.I water PHOTOLITHOGRAPHY Apply photo resist Mask alignment & exposure for 5 seconds METAL DEPOSITION Ti/Au evaporation Need a good vacuum pressure (P~1-6 torr) Metal lift-off POLYIMIDE DEPOSITION Apply polyimide Spin at rpm for 4s for a.5µm thickness ETCHING POLYIMIDE Plasma etch sample at 5scm O, 14w, 1mTorr for 14mins. Remove photo resist in NMP The surface of the GaAs substrate is first thoroughly cleaned using acetone or methanol to remove organic dielectric and D.I water to remove the mentioned cleaning chemical. Photolithography process A thick layer of photoresist S188 is span on the GaAs substrate at 5rpm for 3 seconds. After spinning, the wafer must undergo a soft-bake at 7 C for 3 minutes to drive off most of the solvent in the resist and to establish the exposure characteristics. The first mask is then carefully aligned with the wafer and the sample is exposed for 1 seconds. To develop the photo resist, chlorobenzene is used to harden the photo resist for 1 minute and sample is postbaked at 7 C for 5 minutes. 1 Micro develop: D.I water are used to develop the photo resist for 5 seconds. Plasma etching is used to remove photo resist residual for 3 seconds at 3W and the sample is cleaned using HCL (1%) to remove metal oxide for 15 seconds, and finally it s cleaned using D.I water. Figure 3.3: Flow chart for the fabrication process Metal deposition To evaporate titanium (Ti) or gold (Au), we need the evaporator to be at a good vacuum pressure of about (1-6 torr). A small layer of titanium is first deposited on the substrate surface followed by a deposition of a gold layer up to a thickness of.8 µm. After evaporation, the photoresist is removed by placing the sample in photoresist stripper 1165 overnight. PETER KYABAGGU [ ] Page 4

43 EXPERIMENTAL TECHNIQUES Polyimide deposition First clean the sample using Acetone and pre-bake it at 11 C for 1 minutes. Spin polyimide (PI-61) at rpm for 4s to provide a thickness of polyimide of approximately.5 µm. The sample must undergo a soft-cure at 11 C for 3 minutes and then a final-cure at C for 4 hours using a vacuum oven. The sample is cleaned with acetone and thin photoresist S188 is span on to it at 3rpm for 3 seconds. After spinning the wafer, it must undergo a soft-bake at 9 C for 3 minutes to drive off most of the solvent in the resist and to establish the exposure characteristics. Etching Plasma etching polyimide off the sample happens at 5scm, 14W, 1m Torr for 13~14 minutes. The sample is checked for any polyimide residue and the photo resist is removed using N-Methylpyrrolidone (NMP). The sample is then rinsed in Microposit developer to remove polyimide residue and finally rinsed in D.I. water. 3.4: NETWORK ANALYSER CALIBRATION The calibration is performed using Impedance Standard Substrate (ISS) which contains impedance standards with known microwave characteristics. The commonly used impedance standards are: open, short, load and thru (shown in figure 3.4). The probes used for this work are the µm with a pitch of 45MHz to 5GHz. Network analyser calibration involves characterizing the entire test fixture so that subsequent measurements will pertain to only the device under test (DUT). When performing a calibration, there are trade-offs between the type of standards measured and the mathematical algorithms used to compute the error model [8]. For a particular technique, certain standards play a particular role in the overall characterization. For some calibration methods, such as SOLR, characteristics of other standards such as the thru path are of little or no significance [8]. The three most common calibration techniques are as follows; SOLT (Short, Open, Load, Thru) SOLR (Short, Open, Load, Reciprocal) LRRM (Line, Reflect, Reflect, Match) PETER KYABAGGU [ ] Page 43

44 EXPERIMENTAL TECHNIQUES (probes in air) Open Thru Short Load Figure 3.4: Sketch of the GSG probes on Impedance Standard Substrate (ISS) standard 3.4.1: SOLT CALIBRATION The SOLT calibration is defined as the Short - Open - Load - Thru calibration. SOLT calibration technique is most commonly used calculation kit and readily available. The open standard has an open capacitance which is often negative and is measured when the probes are in air. The short and load standards have an inductance and they measured as shown in figure 3.4. The SOLT calibration technique performs reasonably well if accurate models of calibration standards can be determined and it s very sensitive to probe placement. 3.4.: SOLR CALIBRATION The SOLR calibration is defined as the Short - Open - Load - Reciprocal calibration. This calibration technique is similar to SOLT but with a general Thru standard where reciprocal thru S 1 = S 1 and tolerant to high loss or highly reactive insertion standard. The technique is not available on network analysers and it requires Cascade Microtech software (WinCal), and it still requires accurate models of calibration standards : LMMR CALIBRATION The LMMR calibration is defined as the Line - Reflect - Reflect - Match calibration. This calibration technique is available in WinCal software and only requires match standard on one port. It also uses off-wafer standards. LRRM provides a very high degree of repeatability in one-port and two port measurements. PETER KYABAGGU [ ] Page 44

45 EXPERIMENTAL TECHNIQUES Design the DUT Interface for Calibration Select from Available Standards (Open, Load, Short and Thru) The LRRM technique is an integral feature of Cascade Microtech s WinCal VNA calibration software. The VNA measures the characteristics of the device connected to the measurement reference plane. The calibration is said to be good only when the plot of S11is well within ±.1 db as shown in figure 3.6. Perform the Calibration Validate Calibration by Measuring Standards Perform Measurements on the DUT Figure 3.5: Calibration Flow Chart [8] Figure 3.6: Magnitude (S11) corresponding to a good calibration If the plot is deviating too much from the ±.1 db range, then the calibration procedure has to be repeated. WinCal provides a unified calibration and measurement environment within one software application. After the calibration, measurements may be taken from WinCal, or directly from the VNA. PETER KYABAGGU [ ] Page 45

46 Chapter 4: 4.1: PLANAR TRANSMISSION LINES The two most popular planar transmission lines analysed in this work are the coplanar waveguide (CPW) and microstrip line. Various design optimisation techniques are investigated and discussed. The measured and simulated results for these transmission lines are obtained and presented in this chapter : CPW TRANSMISSION LINE The CPW transmission lines on a GaAs substrate and planar on polyimide are simulated and measured and the results are analysed in the sub chapters that follow. From the results, we are able to discover the advantages of using multilayer transmission lines over the conventional planar transmission lines. Bonding pads W G.5 mm.9 mm (a) (b) Figure 4.1: Aerial view of planar CPW transmission line with bonding pads: (a) sketch layout. (b) micrograph. Figure 4.1(a) shows a typical layout of a fabricated planar CPW transmission line with a total length of.9 mm including the bonding pads. The measurements for the layout in figure 4.1 are as follows: Width of the signal line, W = µm Gap width, G = 15 µm In this work, the transmission line layouts were all generated using Momentum in Agilent ADS and later used to generate the masks required for the fabrication process. PETER KYABAGGU [ ] Page 46

47 : EFFECT OF THE EXTRACTED PARAMETERS ON A PLANAR CPW LINE In order to investigate the effect of the extracted parameters namely; characteristic impedance, effective dielectric constant and dissipation loss on a planar CPW line, the line is simulated over a frequency range taking into account the effect of with and without the bonding pads. (a) (b) (c) Figure 4.: Extracted Parameters of a multilayer CPW transmission line with and without bonding pads from simulated and measured S-parameters: (a) characteristic impedance, (b) effective dielectric constant and (c) dissipation loss. Figure 4. (a) shows the plots for the characteristic impedance of a multilayer CPW transmission line with and without bonding pads alongside the measured results. The characteristic impedance of the line with bonding pads is lower than one without bonding pads at low frequencies because of the reduced parasitics introduced by the bonding pads. The measured characteristic impedance is observed to be much lower than both simulated lines with and without pads because of the parasitic and irregularities that may be introduced during the fabrication process. The high impedance at higher frequencies is due to additional parasitic capacitance of the pads and causing impedance to be low at low PETER KYABAGGU [ ] Page 47

48 frequencies. It is observed that the measured data plot has less pads interference than the simulated data due to the fact that the probes in the measurement are placed close to the DUT while the simulation; the probes are placed at the far end. In figure 4. (b), it s observed that the effective dielectric constant of the transmission line with bonding pads is higher than one without bonding pads. This is due to the shorter length of the line without bonding pads having a lower cross-sectional area which lowers the total capacitance and hence reducing the effective dielectric constant. The measured effective dielectric constant is observed to be higher than the line without pads but slightly lower than one with pads. This is due to some parasitic modes introduced during the fabrication process which lower the effective dielectric constant. For the dissipation loss plots in figure 4. (c), it s observed that transmission line with pads is higher than one with no pads. This is due an increase in length of the line which leads to increased conductor losses and hence increasing the dissipation loss. The measured transmission line is seen to have a higher loss than the other lines because of the parasitic modes introduced in line at fabrication. At higher frequencies, the loss is high due to the current crowding at the edges of the feeders hence increasing the overall loss of the transmission line with pads. PETER KYABAGGU [ ] Page 48

49 4.1.: MICROSTRIP LINE The microstrip transmission lines on a GaAs substrate and planar on polyimide are simulated and measured and the results are analysed in the sub chapters that follow. From the results, we are able to discover the advantages of using multilayer transmission lines over the conventional planar transmission lines. Bonding pads W 1.15 mm 1.4mm (a) (b) Figure 4.3: Aerial view of a microstrip transmission line with bonding pads: (a) sketch layout. (b) micrograph. Figure 4.3(a) shows a typical layout of a fabricated microstrip transmission line with a total length of 1.15 mm including the bonding pads. The measurements for the layout in figure are as follows: Width of the signal line, W = 1µm : EFFECT OF THE EXTRACTED PARAMETERS ON A MICROSTRIP LINE In order to investigate the effect of the extracted parameters namely; characteristic impedance, effective dielectric constant and dissipation loss on a planar CPW line, the line is simulated over a frequency range taking into account the effect of with and without the bonding pads. PETER KYABAGGU [ ] Page 49

50 (a) (b) (c) Figure 4.4: Extracted Parameters of a multilayer microstrip transmission line with and without bonding pads from simulated and measured S-parameters: (a) characteristic impedance, (b) effective dielectric constant and (c) dissipation loss. The overall discussion and analysis of the multilayer microstrip is relatively similar to that of the multilayer CPW transmission line discussed in section : CONCLUSION Both the multilayer CPW and microstrip transmission lines are designed, simulated, fabricated, measured and characterised. The multilayer CPW and microstrip lines with impedance greater than 5Ω are realised with having the lines on two layers of polyimide each with a thickness of.5µm. It is observed that transmission lines with bonding pads have a higher dissipation loss and this because of the increased inductive and capacitive parasitic introduced by the pads. A greater flexibility is obtained by using the multilayer technology in terms of; a smaller gap size between ground plane and conducting signal strip and the width of the signal strip too. The analysis has also been carried out using the measured S-parameter s of both transmission lines and, a good agreement between the measured and simulated results has been obtained. PETER KYABAGGU [ ] Page 5

51 4.: STUDY OF THE ATTENUATION OF A CPW TRANSMISSION LINE This study looks at the two main losses in the transmission line namely; conductor loss and dielectric loss. It further discusses the effects of loss tangent and quality factor on the transmission line. The theoretical losses are later compared with the simulated losses and discussed. 4..1: CALCULATION FOR THE ATTENUATION OF A PLANAR CPW TRANSMISSION LINE WITH GaAS SUBSTRATE The physical dimensions for the planar CPW transmission line are as follows: W m G 15m h 6m t.8m T 5m k W W G (15).4 k 1 k W sinh sinh k h.3998 sinh ( W G) sinh ( 15) 4 h 46 1 k 1 1 k1 1 (.3998).9166 Using the MatLab function ellipke(k), the elliptical integrals are found to be: K ( k 1 ) K ( k 1 ) K ( k ) K ( k 1 ) K k ( ) Kk ( ) Kk ( ) q K( k1) K( k) PETER KYABAGGU [ ] Page 51

52 4..1.1: CONDUCTOR LOSS OF THE PLANAR CPW TRANSMISSION LINE Permeability, 7 7 r S / m The series resistance, f f R s f R s 4W 1 k Rc In kin 4 W (1 k ) K ( k) t 1k f Rc In.4In 4 (1.16)(1.3994) Rc.8 f (4.1a) kr s 4 ( W G) 1 1 k Rg In In 4 W (1 k ) K ( k) t k 1k f 4 ( 15) Rg In In 4 (1.16) Rg.1 f (4.1b) Substitute equations (4.1a) and (4.1b) into equation (.18a) Rc Rg.8 f.1 f c Z Z.19 f c Z (4.1c) Where Z Kk ( ) (4.1d) cc Kk ( ) air eff eff Substituting equation (.16o) into equation (.16n), the effective dielectric constant calculated as; eff eff 1 q( 1) (1.9 1) 6.95 r Where r is the relative dielectric constant for GaAs. PETER KYABAGGU [ ] Page 5

53 Using values of the elliptical integrals and substituting the value of eff into equation (4.1d), Z is calculated to be; Z Z 1 3 Kk ( ) Kk ( ) eff Substituting Z into equation (4.1c), c is expressed as;.19 f.19 f c Z Therefore conductor loss, 4 c f Np/m (4.1e) 4..1.: DIELECTRIC LOSS OF THE PLANAR CPW TRANSMISSION LINE Using equation (.16m) and substituting for in the equation, the dielectric loss of planar CPW transmission line is calculated to be; d d f c r eff r eff q tan e q tan e Where, Dielectric loss tangent of GaAs, tane.16 Speed of light in free space, c / m s f d Therefore; d f Np m ( / ) PETER KYABAGGU [ ] Page 53

54 It is found out that conductor loss and dielectric loss of the transmission line are represented as c K1 f and d K f respectively. K 1 and K are assumed to be independent of frequency [9]. To determine the frequency at which the dielectric losses surpass the skin effect losses, the crossover frequency f can be determined by equating with and solving for f. Therefore f is obtained as: c d f K K 1 [9] Figure 4.5: Calculated results for dielectric loss at different loss tangents of a planar CPW transmission line Figure 4.5 shows the calculated results for dielectric loss at different loss tangents of a planar CPW transmission line. It is observed from figure 4.5 that as the loss tangent is increased, the dielectric loss will also increase. PETER KYABAGGU [ ] Page 54

55 Figure 4.6: Simulated, measured and calculated results for dissipation loss of a planar CPW transmission line Figure 4.6 compares the calculated dissipation loss results with the measured and simulated results of a planar CPW transmission line. At 1GHz, the simulation dissipation loss is higher than the measured loss by 1%; the calculation loss is higher than the simulation loss by roughly 6.5% and also higher than the measured loss by approximately 16%. The difference in results may be; for the calculation case due to the various assumptions made, for the measured case due to some errors made during the fabrication process and for the simulation case, may be due to the difference in simulator calculation method and the theoretical methods. Figure 4.7: Calculated results for conductor and dielectric losses of a planar CPW transmission line PETER KYABAGGU [ ] Page 55

56 Figure 4.8: Calculated results for conductor, dielectric and total losses of a planar CPW transmission line The attenuation in transmission lines is caused by both conductor and dielectric losses. For low-loss dielectrics, the attenuation is caused primarily by the conductor losses. Since the dielectric loss tangent of semi-insulating GaAs is not known in the millimeter wave region, the total attenuation can only be estimated [3]. The dielectric loss is negligibly small, provided the loss tangent remains low at millimeter wave frequencies as observed in figure 4.8. The attenuation constant, d due to dielectric loss is mainly dependent on the substrate thickness and is less affected by the gap between the conductor and the ground planes, G and width of the conductor, W [31]. PETER KYABAGGU [ ] Page 56

57 4..: CALCULATION RESULTS FOR THE ATTENUATION OF A PLANAR ON POLYIMIDE CPW TRANSMISSION LINE WITH GaAS SUBSTRATE The expression for the effective dielectric constant of a planar on polyimide CPW transmission line is given as: eff ( 1) K( k ) K( k ) ( ) K( k ) K( k ) 1 ( ) ( ) ( ) ( ) 1 1 r1 1 r r1 1 1 K k1 K k K k K k (4.) [13] Where r 1 and r are the relative dielectric constants for GaAs and polyimide respectively. 1 The modulus of the complete elliptic integrals Kk ( ) and Kk ( ) are; k k sinh( W / 4 T) sinh( / 45) sinh{[ ( W G)]/ 4 T} sinh{[ ( 15)]/ 45}.9 k 1 k 1 (.9) 1 1 k Kk ( ) k Kk ( ) Therefore substituting the values for the elliptic integrals in equation (4.), Effective dielectric constant eff of the planar CPW on polyimide is found to be: eff eff (1.9 1) ( ) The characteristic impedance Z of the planar CPW on polyimide is found to be: Z Z 1 3 Kk ( ) Kk ( ) eff PETER KYABAGGU [ ] Page 57

58 4...1: CONDUCTOR LOSS c TRANSMISSION LINE OF THE PLANAR ON POLYIMIDE CPW The conductor loss expression of the planar on polyimide CPW transmission line is found by substituting for Z in equation (4.1c) Rc Rg.8 f.1 f c Z Z.19 f.19 f c Z c 4 f 4...: DIELECTRIC LOSS OF THE PLANAR ON POLYIMIDE CPW TRANSMISSION LINE In order to calculate the dielectric loss, the dielectric loss tangent needs to be known and therefore the dielectric loss tangent, tan of polyimide (PI-61) used in the fabrication process is.1 Filling factor, q q 1 1 K k K k 1 Kk ( ) Kk ( ) ( ) ( ) The dielectric loss due to the polyimide substrate is given as; The dielectric loss due to polyimide substrate, d p r f r d qtan 1 tan p e q c eff eff d p d p f f ( Np / m) The dielectric loss due to GaAs substrate q tan r dg eff e eff dg is; PETER KYABAGGU [ ] Page 58

59 qeff q1 q q eff.688 dg dg f f ( Np / m) Therefore the total dielectric loss of the planar CPW on polyimide is given by;.531 f d d p dg d f ( Np / m) f 4...3: RESULTS AND ANALYSIS Figure 4.9: Simulated and Calculated results for dissipation loss of a planar on polyimide CPW transmission line Figure 4.9 compares the calculated dissipation loss results with the measured and simulated results of a planar CPW on polyimide transmission line. At 1GHz, the calculated dissipation loss is higher than the measured loss by roughly 6%; the simulation loss is higher than the calculation loss by roughly 5% and also higher than the measured loss by approximately 1%. The differences in results may be due to various assumptions in the theory and errors and parasitic modes in the fabrication process for the measured data. PETER KYABAGGU [ ] Page 59

60 Figure 4.1: Calculated results for dissipation loss of both planar and planar on polyimide CPW transmission line Figure 4.1 shows the calculated results for dissipation loss of both planar and planar on polyimide CPW transmission line. It is observed from figure 4.1 that the planar CPW has more losses than the planar on polyimide CPW. At 1GHz, the planar CPW is higher than the planar on polyimide by approximately 11%. The planar on polyimide CPW has fewer losses because of a lower effective dielectric constant and lower capacitance than the planar CPW. Figure 4.11: Calculated conductor loss against conductor strip thickness at different frequencies It is observed in figure 4.11 that as the thickness of the conductor strip increases, the conductor losses reduce. This is due to a lower series resistance of the centre strip conductor which is directly proportional to the conductor losses. It is also observed that conductor losses are more at higher frequencies because of the increased inductive and capacitive parasitic at higher frequencies. PETER KYABAGGU [ ] Page 6

61 Figure 4.1: Conductor Loss of planar CPW for finite Characteristic Impedance at 1 GHz Figure 4.1 shows a plot of conductor loss of a planar CPW against conductor strip thickness for finite characteristic impedances at 1 GHz. It is observed in figure 4.1 that conductor losses are reduced at higher characteristic impedance values. This is so because the conductor losses are inversely proportional to the characteristic impedance. Figure 4.13: Calculated conductor loss against frequency at different conductor strip width Figure 4.13 shows plot for calculated conductor loss against frequency at different conductor strip width. It is observed from the figure that as the conductor strip width is increased, the conductor losses are reduced. This is because of a lower series resistance of the center strip conductor which is directly proportional to the conductor losses. PETER KYABAGGU [ ] Page 61

62 Figure 4.14: Calculated conductor loss against skin depth at different conductor strip thicknesses It is observed in figure 4.14 that conductor losses are low at both higher skin depth and at higher conductor strip thickness values. The conductor loss depends on the conductivity, skin effect and also the surface roughness while the dielectric loss is mainly due to the loss tangent of the substrates [3]. At low frequencies, the current that flows in the conductor is uniform. When the current flow is uniform, the skin depth of the conductor will be much larger than the conductor thickness itself. The conductor losses will thus be constant at low frequencies [3]. At medium frequencies, the current normally flows to the edge of the conductor strip for a good conductor. When current flows to the edge of the conductor it increases its resistance. At high frequencies, the current becomes more confined to the surface of the conductor strip. Due to the skin effect phenomenon, the conductor losses increase with the square root of frequency [3]. Since loss tangent of the substrate is as a result of dielectric loss, the lower the value of the loss tangent, the better the performance of the transmission line. Thus dielectric losses can be improved only by using better dielectric material [3]. The attenuation constant decreases as conductor strip thickness increases. When the thickness to skin depth ratio ( t ) approaches infinity, the current flow along the conductor surface increases and the dispersion curve grows as the frequency increases (approximately infinite conductivity). However when t and are of the same order, the current then penetrates into the conductor region. This will introduce an internal inductance which then increases the effective dielectric constant at low frequency [33]. If ( t 3 ), the current distributes almost around the conductor surface, making the loss nearly independent of conductor thickness [33]. PETER KYABAGGU [ ] Page 6

63 4..3: COMPARISON OF DISSIPATION LOSS RESULTS FROM TWO DIFFERENT Formula 1: FORMULAS 1 S Dissipation loss = 1log S1 Formula : 11 Dissipation loss Pout V V () l ( e ) P V V l in out in ( ) [7] Where l is the length of the transmission line. e l 1 S11 S S 1 1 ( S 11 S1 1) (S (S ) 1 11 ) l 1 (Re al( )) 1 S11 S In S1 1 ( S 11 S1 1) (S (S ) 1 11 ) Dissipation loss in db l 1 log( e ) log e l Figure 4.15: Plot of dissipation loss against frequency using formulas 1 and Figure 4.15 shows a plot of dissipation loss using formulas 1 and. It is observed in figure 4.15 that dissipation loss obtained using formula 1 is very much similar to that obtained using formula. Hence either formula could be used to get the dissipation loss of a transmission line. PETER KYABAGGU [ ] Page 63

64 4..4: QUALITY FACTOR ANALYSIS IN RELATION TO THE CPW TRANSMISSION LINE Figure 4.16: Calculated quality factor as a function of frequency for a CPW transmission line From the figure 4.16, it observed that as the frequency is increased; the quality factor always gets better (increases). From the equation.19h, it is noticed that both reactance and resistance change with frequency. The quality factor can be improved by increasing the conductor metal thickness, reducing parasitic capacitances and using multilayer dielectric medium. High values of quality factor are needed to improve the microwave circuit performance such as insertion loss, noise and power efficiency. Figure 4.17: Calculated dissipation factor as a function of frequency for a CPW transmission line From figure 4.17, it is observed that the dissipation factor reduces with increasing frequency values. The higher the resistor, the more losses in the capacitor and the more power we dissipate. If too much energy is dissipated in the capacitor, it heats up to the point that values change. Hence causing drift in operation or failure of the capacitor. The dissipation factor depicts that it s often preferable to choose a material with a low loss tangent in order to minimize power dissipation. PETER KYABAGGU [ ] Page 64

65 (a) (b) Figure 4.18: Calculated quality factor against of a planar CPW and planar on polyimide CPW transmission line (a) the parallel resistance and (b) parallel capacitance From the figure 4.18 (a), it is observed that quality factor in the parallel resonant circuit increases as the parallel resistance increases. In figure 4.18 (b), it is observed that quality factor in the parallel resonant circuit decreases as the parallel capacitance increases. 4..5: CONCLUSION A study of the attenuation of both a planar and planar on polyimide CPW transmission line has been made. The total attenuation or dissipation loss of the line is obtained from a sum of the conductor losses due to the conducting signal strip and the dielectric loss due to the dielectric substrate. A high loss tangent leads to a high dielectric loss due to their direct proportionality. The dielectric losses are observed to be much lower than the conductor losses due to fact that the loss tangent of the GaAs is not known in the millimetre wave region. Lower conductor losses are realised with increased conductor strip thickness and, width values and at high impedances. A better quality factor is realised by using multilayer technology and increasing the conducting strip thickness. A planar on polyimide CPW transmission line realises lower dissipation loss than the conventional CPW line. A good agreement has also been obtained among the calculated, simulated and measured results. PETER KYABAGGU [ ] Page 65

66 4.3: ON-WAFER MEASUREMENTS The measurements of the passive components discussed in this report are made from the GaAs substrate wafer shown in figure Damaged Cells mm 1 3 1mm Figure 4.19: Cell layout for the wafer used for the measurements (each cell is about 1mm x 1mm) Figure 4.19 shows a sketch of the cell layout for the sample GaAs substrate wafer used to carry out the measurements of the all the passive components. The shaded parts on the sample wafer in figure were the damaged cells. The measurements were made for all the twelve cells and the results were plotted as shown in tables 4.1 and : ON-WAFER METAL THICKNESS MEASUREMENT RESULTS The on-wafer measurements for the metal 1, and 3 thickness were made using the Talystep machine. The measurements were made for most of the cells and the results were tabulated as shown in table 4.1. PETER KYABAGGU [ ] Page 66

67 Table 4.1: Metal thickness measurements for metal 1, and 3 layers following the fabrication of wafer: Sample no. 5 Cell M1 (µm) M (µm) M3 (µm) Average From the tabulated results, the average thickness values for each metal were found as; Metal 1 =.55 µm, Metal =.73 µm and Metal 3 =.79 µm. It is observed that cells, 6 and 7 give thickness values nearly to the desired values. By visual inspection of the wafer, it was found that the transmission lines in some of the cells were not good thus they were ignored. PETER KYABAGGU [ ] Page 67

68 4.3.: ON-WAFER POLYIMIDE THICKNESS MEASUREMENT RESULTS The on-wafer measurements for the polyimide thickness were made using the Talystep machine. The measurements were made for most of the cells and the results were tabulated as shown in table 4.. Table 4.: Polyimide thickness measurement following fabrication of wafer sample no. 5 Cell PI (µm) Average.8 From the tabulated results, the average thickness value for the polyimide dielectric was found to be.8µm. The measured polyimide thickness value is short of the desired 5 µm thickness by.18µm. This may be due to plasma etching the sample for longer times which reduces the overall thickness of the polyimide or it may be due to, the fact that polyimide was not span onto the wafer at the right speed or for the right time. PETER KYABAGGU [ ] Page 68

69 4.3.3: ON-WAFER MEASUREMENT RESULTS FOR METAL INTERCONNECT RESISTANCES The on-wafer measurements for the metal resistances; M1-M, M-M3 and M1-M3 were made. Furthermore the resistor values R1 and R were also taken and the results tabulated as shown in table 4.3. Bonding pads M1 M M M1 M1 M1 M1 M1 M M M Interconnects Figure 4.: Top view of the M1-M interconnect Figure 4. shows a sketch of the aerial view of the M1 M interconnect on a GaAs substrate wafer. The sketches for the M-M3 and M1-M3 interconnects are all similar to the M1-M sketch. The resistances are measured using a DC meter by placing DC probes on both of the bonding pads. M M1 M3 M M3 M1 P P1 S.I. GaAs Substrate Figure 4.1: Cross-sectional view of metal interconnects on a GaAs substrate Figure 4.1 shows a sketch of the cross-sectional view of metal interconnects on a GaAs substrate. PETER KYABAGGU [ ] Page 69

70 Table 4.3: Measurement resistances between metals following fabrication of wafer sample no. 5 Cell M1-M (Ω) M-M3 (Ω) M1-M3 (Ω) R1 (Ω) R (Ω) M k M M k M 1.58M 3..1k M 1.57M k M 1.18M 3.9.k M 1.58M 4.3.6k M M 1.96k Average 1.3M.74M k The probes resistance is.91ω. The probes resistance is subtracted off the total resistance of the interconnect and the results are acquired as shown in table. From figure 4.1, it s noticed that the M1-M structure has 1 interconnects, therefore to get the resistance at each interconnect, the overall resistance is divided by ten. From table above, it s shown that cells 8, 1 and 11 give the best interconnects because of their relatively low resistances compared to the other cells. It is also observed that cells 1,, 5, 6 and 7 have high resistances which would lead to very poor interconnect. It is further observed that the resistance, R1 is higher than R because of the narrow width which gives a small area hence leading to a high resistance value. PETER KYABAGGU [ ] Page 7

71 Pure oxygen Thin layer of polyimide residue Polyimide residue P.R M1 P.R PI P.R PI M1 P.R PI PI Si3N4 M3 M1 PI Si3N4 S.I. GaAs Substrate S.I. GaAs Substrate S.I. GaAs Substrate (a) (b) (c) Figure 4.: (a) Plasma etching using pure oxygen. (b) Photo-resist is reduced and polyimide removed. (c) M1-M3 interconnect Figure 4. helps to explain why the interconnect resistances are higher than expected. During the fabrication process, plasma etching of polyimide is done using pure oxygen gas to etch away the polyimide that is on top of metal 1 as shown in figure 4.(b). The main reason as to why the interconnect resistances are higher than expected may be due to a thin layer of polyimide residue that could be left on the surface of M1 after plasma etching and hence this could increase the resistance. Figure 4.(c) shows a sketch of M3 on top of M1 with a thin layer of polyimide residue left between the metals : CONCLUSION During the fabrication process, in order to realise the required.8µm thickness for the conducting strip, the right amount of gold must be evaporated for the right amount of time at that particular density for the right metal. The right polyimide thickness is realised by spinning the right type of polyimide at the right speed and for the right time. For example to realise a thickness of.5 µm, polyimide is spun at rpm for 4seconds. The high resistances measured from the metal interconnects, are due to the some thin polyimide residue left between the metals during the plasma etching process. PETER KYABAGGU [ ] Page 71

72 4.4: STUDY OF THE CPW TO MICROSTRIP TRANSITION There are two main coupling techniques for transitioning vertically between two transmission lines, either through electromagnetic coupling or physical contact. This work employs electromagnetic coupling and the design results are analysed in this chapter. Initially the CPW to microstrip transition study was made using the following design dimensions in design 1column and after a few modifications, the dimensions for the final improved designs were found to be the ones in design and 3columns in table 4.4. Table 4.4: Dimensions for the CPW to microstrip transition transmission line for designs 1, and 3 Measurement (µm) Parameter Design 1 Design Design 3 H W gnd G W signal(cpw) 15 1 W signal(cpw) Width of M 5 17 Width of the via hole 8 8 Gap between CPW ground and M Length of CPW line Length of transition region Length of microstrip line Length of right bonding pads Length of left bonding pads PETER KYABAGGU [ ] Page 7

73 Table 4.5: Desired and Actual fabricated data of design 1for the CPW to microstrip transition transmission line Measurement (µm) Parameter Desired Data Actual Fabricated Data Thickness of M Thickness of M.8.73 Thickness of M Thickness of layers of Polyimide 5.8 LCR Meter Ω M3 M3 PI M1 M3 S.I. GaAs Substrate Figure 4.3: M1 M3 interconnect test Figure 4.3 shows a sketch cross-sectional view of the CPW to microstrip transition undergoing a M1-M3 interconnect test. In the case of the transition, M1 is a ground plane and therefore a test is done to find out if the resistance between the top CPW ground planes (M3) and M1 is zero. The measurements are made on different cells on the wafer and results obtained from the LCR meter are tabulated as presented in table 4.6. PETER KYABAGGU [ ] Page 73

74 Table 4.6: DC resistance measurement of M1-M3 interconnect following the fabrication of wafer no. 5. Cell Resistance (Ω) The probes resistance at the time of measurement was.79ω. The resistance values shown in the table 4.6 have the probe resistance already subtracted from them. It is therefore observed that all cells except cell 8 give a reasonable value nearly to zero which meaning that M1 and M3 are connect together and should give an ideally zero resistance. The big resistance in cell 8 may be due to a thin layer of polyimide residue between the M1 and M3 that was left during the plasma etching process at fabrication : SIMULATION RESULTS AND ANALYSIS OF TRANSITION DESIGN 1 Figure 4.4: Simulated S11 parameters of the CPW to microstrip transition transmission line with default and measured parameters PETER KYABAGGU [ ] Page 74

75 Figure 4.4 shows the simulated return loss from to 5 GHz, for the CPW to microstrip transition with desired and actual data parameters. The figure compares the return loss of the transmission line with and without bonding pads. From figure 4.4, it s observed that the transition with desired data and no pads has a return loss that is better than 1dB from 17 GHz to 45 GHz. The transition with actual data and no pads has a return loss that is better than 7.5dB from 6 to 45 GHz. For the case of the transition transmission line with bonding pads, it s observed that with the desired data, the return loss is better than 7.5dB from 1 to 34 GHz and with the actual data, the return loss is better than 3.5dB from.5 to 34GHz. From the above analysis, it can be concluded that the desired data maintains a better return loss than the actual data. Figure 4.5: Simulated S1 parameters of the CPW to microstrip transition transmission line with default and measured parameters Figure 4.5 shows the simulated insertion loss from to 5 GHz, for the CPW to microstrip transition with desired and actual data parameters. The figure compares the return loss of the transmission line with and without bonding pads. From the figure 4.5, it s observed that the transition with desired data with and without bonding pads has a loss below db over a frequency range of 1 to 34 GHz compared to the actual data whose loss is below db over the same frequency range. Thus this makes the desired data much better than the actual data for the CPW to microstrip transition. PETER KYABAGGU [ ] Page 75

76 Figure 4.6: Simulated characteristic impedance of the CPW to microstrip transition transmission line with default and measured parameters Figure 4.6 compares the impedance of the transition transmission line with and without bonding pads. It is observed that the simulations with pads have a lot of reflections on them caused due to the mis-match of the transmission line with the bonding pads. The default data gives much better impedance value nearer to 5Ω than the actual data. 4.4.: MEASUREMENT RESULTS AND ANALYSIS OF TRANSITION DESIGN 1 (a) (b) Figure 4.7: Measured CPW to microstrip transition design; (a) S11 parameters. (b) S1 parameters Figure 4.7 shows the measured S11 and s1 parameters of design1 for the CPW to microstrip transition transmission line. From figure 4.7, it is observed that the transmission lines in cells 9 and 11 are very lossy while the ones in cells 5, 9, 1 and 8 are quite lossy but not to the extent of the other cells. This is due to the fabrication of the wafer due to the higher resistance of the metal interconnect. PETER KYABAGGU [ ] Page 76

77 (a) (b) Figure 4.8: Measured and simulated CPW to microstrip transition; (a) S11, (b) S1 parameters Figure 4.8 shows the comparison between the measured and simulated S11 and S1 parameters of design1 for the CPW to microstrip transition transmission line. Cell 5 was chosen since it had a better loss than the other cells. In figure 4.8 (a), the measured has a better return loss than the simulated by approximately %. From the measurements results obtained in figures 4.7 and 4.8, it was observed that the CPW to microstrip transition transmission lines on the wafer in most of the cells were generally lossy and for this reason, new improved designs for the transition were developed and their results are discussed in the sub chapters 4.5 and : CONCLUSION A CPW to microstrip transition transmission line is designed, simulated, fabricated, measured and characterised. A better return and insertion loss for the line is realised with the desired data (Thickness of M3, M, and M1 =.8µm and polyimide thickness of 5µm) as compared to the actual measured wafer data (Thickness: M3 of.79µm, M of.73 µm, M1 of.51µm and polyimide thickness of.8µm). The measured results do not agree with the simulated results and this is due errors that occurred during the wafer fabrication. For this reason, design 1 is remodelled, simulated and results discussed in the next subchapter. PETER KYABAGGU [ ] Page 77

78 4.5: DESIGN : CPW TO MICROSTRIP TRANSITION TRANSMISSION LINE (WITH REDUCED FOOTPRINT WIDTH) Design of the transition transmission line is designed in such a way that the CPW and microstrip transmission lines influence the structure of the transition. Design is an improvement of design 1with some dimensions alterations made to better the transmission line performance as shown in table 4.4. The main study of design 1 and is to study the effect a footprint (metal ) in the CPW part as shown in figure would have on the transition. M3 M3 M3 M P W M P1 M3 M3 M1 M3 P P1 M3 M1 P P1 H S.I. GaAs Substrate S.I. GaAs Substrate S.I. GaAs Substrate (a) (b) (c) Figure 4.9: Design Cross-sectional view of: (a) CPW region, (b) Transition region and (c) Microstrip region of the CPW-to-microstrip transition transmission line Figure 4.9 shows a sketch of cross-sectional view of design CPW-to-microstrip transition transmission line along the signal track where H is the thickness of the GaAs substrate, M1, are 3 are the metal conductor layers while P1 and P are the two layers of the polyimide substrate, and W M is the width of the metal conductor. Table details the dimensions of the whole schematic structure. PETER KYABAGGU [ ] Page 78

79 4.5.1: RESULTS AND ANALYSIS FOR DESIGN Figure 4.3: Simulated S11 parameters for design of the CPW to microstrip transition transmission line with and without bonding pads Figure 4.3 shows the simulated return loss of the CPW to microstrip transition transmission line with and without bonding pads from to 5 GHz. It is observed that the return loss is better than 17.5 db from 13 to 4 GHz for a transmission line without bonding pads and better than 14 db from 1 to 39 GHz for a transmission line with bonding pads. Figure 4.31: Simulated S1 parameters for design of the CPW to microstrip transition transmission line with and without bonding pads PETER KYABAGGU [ ] Page 79

80 Figure 4.31 shows the simulated insertion loss of the CPW to microstrip transition transmission line with and without bonding pads from to 5 GHz. It is observed that the insertion loss is below 1 db from 7.5 to 4 GHz for a transmission line without bonding pads and below 1 db from 7.5 to 38 GHz for a transmission line with bonding pads. The transition transmission line without pads has a value of.4 db at GHz (highest peak) and a bandwidth of 136.8% while the transmission line with pads has a value of.45 db at GHz and a bandwidth of 134%. Figure 4.3: Simulated characteristic impedance for design of the new CPW to microstrip transition transmission line with and without bonding pads By using the mode matching technique, it has been shown that the characteristic impedance of CPW to microstrip transmission line can be considered as the parallel combination of two impedances, that is; the CPW mode and the micorstrip mode [14]. 4.5.: RESONANCE FREQUENCY OF THE TRANSMISSION LINE WITH AND WITHOUT BONDING PADS At resonance, mm m l, since length, l of the transmission line with pads = 1.5mm V p Wave length, ; f PETER KYABAGGU [ ] Page 8

81 8 c = 3 1 m/s reff reff Phase velocity, V p c = reff 8 (31 ) / m s Therefore resonance frequency, 8 V p (1.391 ) 1 f Hz 5.6GHz 3 (.451 ) Resonance frequency of the transmission line without bonding pads Length, l of the transmission line without pads = 1.1mm Therefore resonance frequency, 8 V p (1.391 ) 1 f Hz 56.3GHz 3 (.1 ) Figure 4.33: Simulated S11 parameters for design of the new design transmission line, transmission line without transition and a line with no footprints. Figure 4.33 shows the simulated return loss of the new design transmission line, transmission line without transition and a line with no footprints from to 6 GHz. It can be observed that the transmission line without transition has a return loss better than 1.35dB from 6 to 46.5 GHz; the transmission line with no footprints has a return loss better than 8dB from 6. to 38.6 GHz and the new design transmission line with a footprint has a return loss better than and better than 14 db from 1 to 39 GHz. PETER KYABAGGU [ ] Page 81

82 Figure 4.34: Simulated S1 parameters for design of the new design transmission line, transmission line without transition and a line with no footprints. Figure 4.34 shows the simulated insertion loss of the new design transmission line, transmission line without transition and a line with no footprints from to 6 GHz. It can be observed that for the transmission line without transition, the loss is below 1dB from 19.5 to 39 GHz; for the transmission line with no footprints the loss is below 1dB from 7 to 31 GHz and for the new design transmission line with a footprint is below 1dB from 7.5 to 38 GHz. The transmission line a footprint was therefore chosen as the nominal design for the CPW to microstrip investigation because of its wider bandwidth as compared to the other designs. Figure 4.35: Simulated dissipation loss for design transition transmission line, transmission line without transition and a line with no footprints. PETER KYABAGGU [ ] Page 8

83 Figure 4.35 shows the simulated dissipation loss for design- transition transmission line, the transmission line without transition and transmission line with no footprints. It is observed that the new design- has lower dissipation loss than both the transmission line without transition and transmission line with no footprints. At GHz, the dissipation loss for design- transition transmission line is approximately 1% lower than that of the transmission line without transition and 16% lower than that of the transmission line with no footprints : EFFECT OF TAPERED CPW GROUND PLANES Figure 4.36: Simulated S11 parameters of the new CPW to microstrip transition transmission line at different tapered CPW ground angles Figure 4.36 shows the simulated return loss for the new CPW to microstrip transition transmission line at different tapered CPW ground angles of 15, 3, 7 and 9. It is observed that for tapered CPW ground angles below 3, a much better return loss is achieved. The CPW ground is tapered to reduce the surface area of transmission line to decreases the capacitance, thus increasing the characteristic impedance of the transmission line. The angle of 3 was chosen as the nominal tapered CPW ground angle for the CPW to microstrip investigation. PETER KYABAGGU [ ] Page 83

84 Figure 4.37: Simulated S1 parameters versus frequency for different tapered CPW ground angles Figure 4.37 shows the simulated S1 parameters at different tapered CPW ground angles for design transition transmission line. It is observed that S1 parameters at different tapered CPW ground angles are almost similar and hence tells us that these parameters do help to show which angle gives an improved transition : EFFECT OF THE TRANSITION LENGTH Table 4.7: Impedance values of different transition length sizes at and 3 GHz At 5 GHz At 3 GHz Transition Length (µm) Impedance (Ω) Impedance (Ω) PETER KYABAGGU [ ] Page 84

85 Figure 4.38: Plot of the characteristic impedance of the new transition design against the transition length Figure 4.38 shows a plot of the characteristic impedance against the transition length at and 3 GHz. From the figure 4.38, it is observed that with a relatively longer transition length, high impedance values are obtained. At higher frequencies, the variation becomes more independent of the transition length : EFFECT OF THE SIGNAL LINE WIDTH Table 4.8: Impedance values of different signal line width sizes at, 5 and 3 GHz At GHz At 5 GHz At 3 GHz Signal line width (µm) Impedance (Ω) Impedance (Ω) Impedance (Ω) PETER KYABAGGU [ ] Page 85

86 Figure 4.39: Simulated characteristic impedance of the new transition design against the signal width, Wsignal In figure 4.39, it is observed that the impedance, Z is inversely proportional to the signal line width. In that having a small signal line width gives higher impedance value and vice versa. It is also observed that at higher frequencies, the impedance is high : EFFECT OF THE CPW GAP WIDTH, G ON THE TRANSITION TRANSMISSION LINE Figure 4.4: Simulated S11 parameters of the new CPW to microstrip transition transmission line with different CPW gap width, G In figure 4.4 it s observed that the 1µm and 15µm gap transmission lines have return losses better than 18dB and 17dB respectively though the 15 µm gap line has a wider bandwidth than the 1 µm line. It is further observed that with both the µm and 5 µm gap lines having a return loss better than approximately 13dB but with much wider bandwidth than both 1 µm and 15 µm lines. The 15 µm gap transmission line is chosen as the nominal CPW gap width value for the CPW to microstrip transition investigation. PETER KYABAGGU [ ] Page 86

87 Figure 4.41: Simulated S11 parameters against CPW gap width Figure 4.41 shows a plot of S11 parameters against the CPW gap width of the transition transmission line at different valley peaks. It is observed that as the CPW gap width is increased, the transition return loss improves. Hence the reflections are reduced. Figure 4.4: Simulated S1 parameters of the new CPW to microstrip transition transmission line with different CPW gap width, G Figure 4.4 shows the simulated insertion loss for different CPW gaps of the CPW to microstrip transmission line. It is observed that around 15 µm CPW gap the insertion loss of the transmission line is optimized. For the 15 µm gap width, the insertion loss is below 1dB from 7.33GHz to 4.9GHz with a value of.4db at GHz. For the 1, and 5 µm gap sizes, the difference in insertion loss is very small but the bandwidth performance is reduced or deteriorates. Therefore the 15 µm gap transmission line was chosen as the nominal CPW gap width value for the CPW to microstrip transition investigation. PETER KYABAGGU [ ] Page 87

88 Table 4.9: Impedance values of different CPW gap sizes at, 5 and 3 GHz At GHz At 5 GHz At 3 GHz Gap width (µm) Impedance (Ω) Impedance (Ω) Impedance (Ω) Figure 4.43: Simulated characteristic impedance of the new transition design against the gap width, G Figure 4.43 shows a plot of the simulated characteristic impedance against the gap width G at, 5 and 3 GHz. From figure 4.43, it is observed that the impedance, Z is directly proportional to the signal line width. A small CPW gap width gives lower impedance value and vice versa. It is also observed that at higher frequencies, the impedance is high. PETER KYABAGGU [ ] Page 88

89 4.5.7: EFFECT OF LENGTH OF BONDING PADS Figure 4.44: Simulated S11 parameters versus frequency for different bonding pad lengths Figure 4.44 shows the simulated return loss for different bonding pad lengths of the CPW to microstrip transmission line. It s observed that the 5µm long bonding pads offer a much reduced pad effect and hence a much broader bandwidth compared to the 7, 1 and 1µm long bonding pads. Figure 4.45: Simulated S1 parameters versus frequency for different bonding pad lengths Figure 4.45 shows the simulated insertion loss for different bonding pad lengths of the CPW to microstrip transmission line. It s observed that the 5µm long bonding pads offer a much reduced pad effect and hence a much broader bandwidth compared to the 7, 1 and 1µm long bonding pads. Therefore the 5µm long bonding pads were chosen as the nominal length of the bonding pads for the CPW to microstrip transition investigation. PETER KYABAGGU [ ] Page 89

90 Figure 4.46: Simulated S1 parameters against length of the bonding pads Figure 4.46 shows a plot of the simulated S1 parameters against different length values of the bonding pads. From the figure 4.46, it s observed that the as length of the bonding pads increases, the insertion loss worsens : EFFECT OF METAL WIDTH ON THE TRANSITION TRANSMISSION LINE M1 G M3 M3 M W M S.I. GaAs Substrate M3 P P1 Figure 4.47: Cross-sectional view of the CPW of the transition elaborating the width of Metal PETER KYABAGGU [ ] Page 9

91 Figure 4.48: Simulated S11 parameters versus frequency for different width values for metal Figure 4.48 shows the simulated return loss for different width values for of metal for the CPW to microstrip transmission line. It s observed that as the metal width increases, the return loss worsens. The 17µm line is observed to have a much better return loss and a wider bandwidth than the other metal width values. Hence this makes it the nominal width of metal for the CPW to microstrip transmission line. Figure 4.49: Simulated S1 parameters versus frequency for different width values for metal Figure 4.49 shows the simulated insertion loss for different width values for of metal for the CPW to microstrip transmission line. It s observed that the 17µm metal width line provides a better insertion loss closer to db than the other metal width values. Hence this makes it the nominal width of metal for the CPW to microstrip transmission line. PETER KYABAGGU [ ] Page 91

92 Figure 4.5: Simulation plot of the characteristic impedance for different width values for metal Figure 4.5 shows the simulation plot for the characteristic impedance of different width values for metal. It s observed that as width values for metal are reduced, the characteristic impedance is increased. This is due to a decrease in the surface area as the width is decreased which leads to a decrease in capacitance and hence an increase in impedance since the impedance is inversely proportional to the capacitance : EFFECT OF THE MICROSTRIP SIGNAL WIDTH ON THE TRANSITION TRANSMISSION LINE W MS M3 M1 S.I. GaAs Substrate P1& Figure 4.51: Cross-sectional view of the microstrip of the transition elaborating the microstrip signal width PETER KYABAGGU [ ] Page 9

93 Figure 4.5: Simulated S11 parameters versus frequency for different width values of the microstrip signal line Figure 4.5 shows the simulated return loss for different width values of microstrip signal line while leaving the width at the CPW end constant at 1 µm. It is observed that as the width of the microstrip line increases, both the return loss and the bandwidth values are worsened. Therefore the microstrip signal width line is chosen to be 1 µm because anything lower than that, would make the fabrication process harder. Figure 4.53: Simulated S1 parameters versus frequency for different width values of the microstrip signal line Figure 4.53 shows the simulated insertion loss for different width values of microstrip signal line while leaving the width at the CPW end constant at 1 µm. It s observed that the 1µm wide microstrip line provides a better insertion loss closer to db than the other metal width values and with a much wider bandwidth. Hence this makes the 1µm width the nominal width for the microstrip in the CPW to microstrip transition transmission line. PETER KYABAGGU [ ] Page 93

94 4.5.1: INVESTIGATING THE EFFECT OF SIMULATING THE TRANSMISSION LINE STRUCTURE AT BOTH 1.5mm AND 1.1mm LENGTH Figure 4.54: Simulated S11 parameters for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length In figure 4.54, it is observed that the 1.1mm line with no pads has a return loss better than 8dB from 7 to 45 GHz compared to the 1.5mm line return loss that is lower than 8dB. The sharp spikes in the plots are due to the bonding pads mis-match with the transmission line. Some line plots appear to have the return loss above the db due to the conducting effect of the reflected signal. Figure 4.55: Simulated dissipation loss for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length It is calculated from figure 4.55 that the 1.5mm line with no pads has 8.96% more dissipation loss than the 1.1mm line with no pads. The 1.5mm line with pads has 1.5% more dissipation loss than the 1.5mm line with no pads and 1.34% more loss than the 1.1mm line with no pads. Thus this justifies that the bonding pads contribute to the losses of the transmission line irrespective of its length. PETER KYABAGGU [ ] Page 94

95 Figure 4.56: Simulated S1 parameters for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length Figure 4.57: Simulated characteristic impedance for the CPW to microstrip transition transmission line of 1.1 and 1.5 mm length It is observed that the bonding pads have a significant effect on the resonance. In that the transmission line with pads becomes more resonant because of the pads not being matched to 5 Ω while the impedance of transmission line without the bonding pads is found to have a relatively smaller resonance effect : CONCLUSION A CPW to microstrip transition transmission line (design ) is designed, simulated and characterised. Design realises a better return loss of 14 db from 1 to 39 GHz than design 1 which has a return loss of 7.5dB from 1 to 34 GHz. Design also realises a better insertion loss of below 1 db from 7.5 to 38 GHz than design 1 which has an insertion loss of below 1dB over a frequency range of 1 to 34 GHz. Design performs better with a footprint (M) on the CPW end of width 17µm. Thus design transition depends on changes that occur to the CPW or microstrip transmission lines. PETER KYABAGGU [ ] Page 95

96 4.6: DESIGN 3: CPW TO MICROSTRIP TRANSITION LINE (WITHOUT FOOTPRINT) Design 3 of the transition transmission line is designed in such a way that the transition part influences the CPW and microstrip transmission lines. Design 3 is an improvement of design 1 and with no footprints at the CPW end of the transmission line. The dimensions of design 3 are given in table 4.4. W CPW W T W MS T M3 M3 M3 P P1 M3 M3 M1 M3 P P1 M3 M1 P P1 H S.I. GaAs Substrate S.I. GaAs Substrate S.I. GaAs Substrate (a) (b) (c) Figure 4.58: Design 3 Cross-sectional view of: (a) CPW region, (b) Transition region and (c) Microstrip region of the CPW-to-microstrip transition transmission line Figure 4.58 shows a sketch of cross-sectional view of design 3 CPW-to-microstrip transition transmission line along the signal track where H is the thickness of the GaAs substrate, M1 and 3 are the metal conductor layers while P1 and P are the two layers of the polyimide substrate, and W CPW is the width of the CPW metal conductor, W T is the width of the transition metal conductor and W MS is the width of the microstrip metal conductor. Table 4.4 details the dimensions of the whole schematic structure. PETER KYABAGGU [ ] Page 96

97 4.6.1: EFFECT OF THE TRANSITION LENGTH ON THE DESIGN 3 Figure 4.59: Simulated S11 parameters for design 3 at different lengths of the transition region. Figure 4.59 shows the simulated S11 parameters of design 3 at different lengths of the transition region. It is observed as the transition length increases, the return loss worsens. Thus a shorter the transition length contributes to smaller reflections to the transmission line. Therefore for this work, a 1µm transition region length was used. Figure 4.6: Simulated S1 parameters for design 3 at different lengths of the transition region. Figure 4.6 shows the simulated S1 parameters of design 3 at different lengths of the transition region. It is observed as the transition length increases, the insertion loss worsens. Thus a shorter the transition length would give low insertion loss that is nearer to zero. Therefore this helped to make the decision to use a 1µm transition region length for this work. PETER KYABAGGU [ ] Page 97

98 4.6.: EFFECT OF THE TRANSMISSION LINE LENGTH ON THE DESIGN 3 TRANSITION TRANSMISSION LINE Figure 4.61: Simulated S11 parameters of design 3 at different transmission line lengths Figure 4.61 shows the simulated S11 parameters of design 3 at different transmission line lengths from 1 to 5 GHz. It can be observed that the 5µm line has a return loss better than 17.9 db from 18 to 38.8 GHz; the 5µm line has a return loss better than 16 db from 16 to 38.8 GHz, and the 11µm transmission line has a return loss better than 15.4 db from 1 to 38.4 GHz. Figure 4.6: Simulated S1 parameters of design 3 at different transmission line lengths Figure 4.6 shows the simulated S11 parameters of design 3 at different transmission line lengths from 1 to 5 GHz. PETER KYABAGGU [ ] Page 98

99 4.6.3: COMPARISON OF DESIGN 3 WITH AND WITHOUT A TRANSITION REGION Figure 4.63: Simulated S11 parameters for design 3 with and without a transition region and with both M1 and M3 grounds tapered. Figure 4.63 shows the simulated S11 parameters for design 3 transmission line with and without a transition region, and also parameters of a line with tapered ground planes of both M1 and M3. It can be observed that the new design 3 with transition has a return loss better than 15.4dB from 1 to 38.4 GHz; the transmission line with both M1 and M3 ground planes tapered has a return loss better than 14. db from 11 to 38.8 GHz, and the design 3 transmission line with no transition has a return loss better than 5.6 db from 14 to 5 GHz. PETER KYABAGGU [ ] Page 99

100 4.6.4: COMPARISON OF DESIGN AND 3 WITH AND WITHOUT BONDING PADS (a) (b) Figure 4.64: Simulated design and 3 CPW to MS transition without bonding pads; (a) S11, (b) S1. (a) (b) Figure 4.65: Simulated design and 3 CPW to MS transition with bonding pads; (a) S11, (b) S1. Figure 4.64 shows simulated S11 and S1 parameters of design and 3 without bonding pads from to 8 GHz. Figure 4.65 shows simulated S11 and S1 parameters of design and 3 with bonding pads from to 8 GHz. It is observed from figures 4.64 (a) and 4.65 (a) that for design ; Return loss is better than 17.5 db from 16 to 68 GHz for a transmission line without bonding pads and better than 14 db from 1 to 64 GHz for a transmission line with bonding pads. For design 3; Return loss is better than 16 db from 1 to 7 GHz for a transmission line without bonding pads and better than 14.3 db from 11 to 66 GHz for a transmission line with bonding pads. PETER KYABAGGU [ ] Page 1

101 From the above observations, it is noticed that there is no much difference in terms of return loss between design and 3 but there is a difference in frequency ranges in which the transmission line operates well, with design 3 (no footprint) offering a wider frequency range. It is observed from figures 4.64 (b) and 4.65 (b) that for design ; The insertion loss is below 1 db from 7.5 to 43 GHz for a transmission line without bonding pads and below 1 db from 7.5 to 38 GHz for a transmission line with bonding pads. The transition transmission line without pads has a value of.4 db at 1 GHz (highest peak) and a bandwidth of 14.6% while the transmission line with pads has a value of.4 db at 35 GHz and a bandwidth of 134%. For design 3; The insertion loss is below 1 db from 7 to 44 GHz for a transmission line without bonding pads and below 1 db from 7 to 38 GHz for a transmission line with bonding pads The transition transmission line without pads has a value of.41 db at GHz (highest peak) and a bandwidth of 145% while the transmission line with pads has a value of.43 db at 19 GHz and a bandwidth of 138%. PETER KYABAGGU [ ] Page 11

102 MPHIL REPORT [SEPT. 1] 4.6.5: COMPARISON OF THIS WORK WITH PUBLISHED DATA Table 4.1: Comparison of the published data with this work Structure Design Substrate Method Return loss (S11) Insertion loss (S1) References Via-less Rogers RT/ Vertical -15dB over dB at [] transition Duroid 61 Electromagnetic 7.5GHz 5GHz and - Radial Coupling 1dB at 7.5Ghz stub Uniplanar Silicon Electromagnetic -17dB from 85GHz.dB at [4] Via-less Coupling to 1GHz 94GHz Via-less Silicon Electromagnetic -1dB from 7 to 1dB over 1 to [] transition Coupling 37GHz 4GHz Uniplanar PETER KYABAGGU [ ] Page 1

103 MPHIL REPORT [SEPT. 1] Mode Indium Electromagnetic -1dB up to 14GHz -db [1] matching phosphide Coupling (InP) Via-less Uniplanar (InP) and Alumina (Al) -15dB up to 5GHz (Al) Tapered Duroid 61 Electromagnetic -1dB from 3 to [34] Via-less Coupling 14GHz Uniplanar CPW Microstrip Via-less Gallium Electromagnetic db from 11 to Below 1 db This work WCPW Wgnd G Ground Signal Ground Ground Tapered ground planes Tapered Multilayer Arsenide (GaAs) Coupling 66 GHz from 7 to 38 GHz Transition Table 4.1 shows a comparision of different published CPW to microstrip transitions with this work using the method of electromagnetic coupling. This means the designs analysed in this table are via-less and most of them are uniplanar. From the table, it s observed that the multilayer transition design in the work gives a better return loss for a wider range of frequencies compared to other already published designs. It is also observed that the insertion loss for this work s design is below 1dB for much wider frequencies as compared to the published designs PETER KYABAGGU [ ] Page 13

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

Lines and Slotlines. Microstrip. Third Edition. Ramesh Garg. Inder Bahl. Maurizio Bozzi ARTECH HOUSE BOSTON LONDON. artechhouse.

Lines and Slotlines. Microstrip. Third Edition. Ramesh Garg. Inder Bahl. Maurizio Bozzi ARTECH HOUSE BOSTON LONDON. artechhouse. Microstrip Lines and Slotlines Third Edition Ramesh Garg Inder Bahl Maurizio Bozzi ARTECH HOUSE BOSTON LONDON artechhouse.com Contents Preface xi Microstrip Lines I: Quasi-Static Analyses, Dispersion Models,

More information

A Conformal Mapping approach to various Coplanar Waveguide Structures

A Conformal Mapping approach to various Coplanar Waveguide Structures Australian Journal of Basic and Applied Sciences, 8(3) March 04, Pages: 73-78 AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:99-878 Journal home page: www.ajbasweb.com A Conformal

More information

FABRICATION AND CHARACTERISATION OF 3D MULTILAYER CIRCUITS FOR COMPACT MMIC APPLICATIONS

FABRICATION AND CHARACTERISATION OF 3D MULTILAYER CIRCUITS FOR COMPACT MMIC APPLICATIONS FABRICATION AND CHARACTERISATION OF 3D MULTILAYER CIRCUITS FOR COMPACT MMIC APPLICATIONS A thesis submitted to The University of Manchester for the degree of Doctor of Philosophy in the Faculty of Engineering

More information

Microwave Engineering

Microwave Engineering Microwave Circuits 1 Microwave Engineering 1. Microwave: 300MHz ~ 300 GHz, 1 m ~ 1mm. a. Not only apply in this frequency range. The real issue is wavelength. Historically, as early as WWII, this is the

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Microwave Circuits 1.1 INTRODUCTION

Microwave Circuits 1.1 INTRODUCTION Microwave Circuits 1.1 INTRODUCTION The term microwave circuits means different things to different people. The prefix micro comes from the Greek fiikpog (micros) and among its various meanings has the

More information

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios Microwave Science and Technology Volume 13, Article ID 56734, 1 pages http://dx.doi.org/1.1155/13/56734 Research Article Compact and Wideband Parallel-Strip 18 Hybrid Coupler with Arbitrary Power Division

More information

Department of Electrical Engineering University of North Texas

Department of Electrical Engineering University of North Texas Name: Shabuktagin Photon Khan UNT ID: 10900555 Instructor s Name: Professor Hualiang Zhang Course Name: Antenna Theory and Design Course ID: EENG 5420 Email: khan.photon@gmail.com Department of Electrical

More information

Accurate Models for Spiral Resonators

Accurate Models for Spiral Resonators MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com Accurate Models for Spiral Resonators Ellstein, D.; Wang, B.; Teo, K.H. TR1-89 October 1 Abstract Analytically-based circuit models for two

More information

S-parameters. Jvdtang. RFTE course, #3: RF specifications and system design (I) 73

S-parameters. Jvdtang. RFTE course, #3: RF specifications and system design (I) 73 S-parameters RFTE course, #3: RF specifications and system design (I) 73 S-parameters (II) Linear networks, or nonlinear networks operating with signals sufficiently small to cause the networks to respond

More information

Lecture #3 Microstrip lines

Lecture #3 Microstrip lines November 2014 Ahmad El-Banna Benha University Faculty of Engineering at Shoubra Post-Graduate ECE-601 Active Circuits Lecture #3 Microstrip lines Instructor: Dr. Ahmad El-Banna Agenda Striplines Forward

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications Kamaljeet Singh & K Nagachenchaiah Semiconductor Laboratory (SCL), SAS Nagar, Near Chandigarh, India-160071 kamaljs@sclchd.co.in,

More information

Waveguides. Metal Waveguides. Dielectric Waveguides

Waveguides. Metal Waveguides. Dielectric Waveguides Waveguides Waveguides, like transmission lines, are structures used to guide electromagnetic waves from point to point. However, the fundamental characteristics of waveguide and transmission line waves

More information

MICROWAVE ENGINEERING-II. Unit- I MICROWAVE MEASUREMENTS

MICROWAVE ENGINEERING-II. Unit- I MICROWAVE MEASUREMENTS MICROWAVE ENGINEERING-II Unit- I MICROWAVE MEASUREMENTS 1. Explain microwave power measurement. 2. Why we can not use ordinary diode and transistor in microwave detection and microwave amplification? 3.

More information

Metamaterial Inspired CPW Fed Compact Low-Pass Filter

Metamaterial Inspired CPW Fed Compact Low-Pass Filter Progress In Electromagnetics Research C, Vol. 57, 173 180, 2015 Metamaterial Inspired CPW Fed Compact Low-Pass Filter BasilJ.Paul 1, *, Shanta Mridula 1,BinuPaul 1, and Pezholil Mohanan 2 Abstract A metamaterial

More information

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology Johan Wernehag, EIT Lecture 4 RF Amplifier Design Johan Wernehag Electrical and Information Technology Design of Matching Networks Various Purposes of Matching Voltage-, Current- and Power Matching Design

More information

Designs of Substrate Integrated Waveguide (SIW) and Its Transition to Rectangular Waveguide. Ya Guo

Designs of Substrate Integrated Waveguide (SIW) and Its Transition to Rectangular Waveguide. Ya Guo Designs of Substrate Integrated Waveguide (SIW) and Its Transition to Rectangular Waveguide by Ya Guo A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

RF AND MICROWAVE ENGINEERING

RF AND MICROWAVE ENGINEERING RF AND MICROWAVE ENGINEERING FUNDAMENTALS OF WIRELESS COMMUNICATIONS Frank Gustrau Dortmund University of Applied Sciences and Arts, Germany WILEY A John Wiley & Sons, Ltd., Publication Preface List of

More information

Mm-wave characterisation of printed circuit boards

Mm-wave characterisation of printed circuit boards Mm-wave characterisation of printed circuit boards Dmitry Zelenchuk 1, Vincent Fusco 1, George Goussetis 1, Antonio Mendez 2, David Linton 1 ECIT Research Institute: Queens University of Belfast, UK 1

More information

Microstrip Line Discontinuities Simulation at Microwave Frequencies

Microstrip Line Discontinuities Simulation at Microwave Frequencies Microstrip Line Discontinuities Simulation at Microwave Frequencies Dr. A.K. Rastogi 1* (FIETE), (MISTE), Munira Bano 1, Manisha Nigam 2 1. Department of Physics & Electronics, Institute for Excellence

More information

EC Transmission Lines And Waveguides

EC Transmission Lines And Waveguides EC6503 - Transmission Lines And Waveguides UNIT I - TRANSMISSION LINE THEORY A line of cascaded T sections & Transmission lines - General Solution, Physical Significance of the Equations 1. Define Characteristic

More information

Design and Fabrication of Passive Barium Strontium Titanate (BST) Thin Film Varactor Based Phase Shifters for Operation within a 5-15 GHz Bandwidth

Design and Fabrication of Passive Barium Strontium Titanate (BST) Thin Film Varactor Based Phase Shifters for Operation within a 5-15 GHz Bandwidth University of Dayton ecommons Honors Theses University Honors Program 4-2016 Design and Fabrication of Passive Barium Strontium Titanate (BST) Thin Film Varactor Based Phase Shifters for Operation within

More information

Microstrip Lines and Slotlines

Microstrip Lines and Slotlines Microstrip Lines and Slotlines Second Edition K.C. Gupta Ramesh Garg Inder Bahl Prakash Bhartia Artech House Boston London Contents Preface to the Second Edition Preface to the First Edition Chapter 1

More information

A NOVEL EPSILON NEAR ZERO (ENZ) TUNNELING CIRCUIT USING MICROSTRIP TECHNOLOGY FOR HIGH INTEGRABILITY APPLICATIONS

A NOVEL EPSILON NEAR ZERO (ENZ) TUNNELING CIRCUIT USING MICROSTRIP TECHNOLOGY FOR HIGH INTEGRABILITY APPLICATIONS Progress In Electromagnetics Research C, Vol. 15, 65 74, 2010 A NOVEL EPSILON NEAR ZERO (ENZ) TUNNELING CIRCUIT USING MICROSTRIP TECHNOLOGY FOR HIGH INTEGRABILITY APPLICATIONS D. V. B. Murthy, A. Corona-Chávez

More information

Three Dimensional Transmission Lines and Power Divider Circuits

Three Dimensional Transmission Lines and Power Divider Circuits Three Dimensional Transmission Lines and Power Divider Circuits Ali Darwish*, Amin Ezzeddine** *American University in Cairo, P.O. Box 74 New Cairo 11835, Egypt. Telephone 20.2.2615.3057 adarwish@aucegypt.edu

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

SLOTTED GROUND STRUCTURES AND THEIR APPLICATIONS TO VARIOUS MICROWAVE COMPONENTS. A Thesis DONG JIN JUNG

SLOTTED GROUND STRUCTURES AND THEIR APPLICATIONS TO VARIOUS MICROWAVE COMPONENTS. A Thesis DONG JIN JUNG SLOTTED GROUND STRUCTURES AND THEIR APPLICATIONS TO VARIOUS MICROWAVE COMPONENTS A Thesis by DONG JIN JUNG Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of

More information

Design of Microstrip Coupled Line Bandpass Filter Using Synthesis Technique

Design of Microstrip Coupled Line Bandpass Filter Using Synthesis Technique Design of Microstrip Coupled Line Bandpass Filter Using Synthesis Technique 1 P.Priyanka, 2 Dr.S.Maheswari, 1 PG Student, 2 Professor, Department of Electronics and Communication Engineering Panimalar

More information

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS CHAPTER 2 MODELING OF SELF-HEATING IN IC INTERCONNECTS AND INVESTIGATION ON THE IMPACT ON INTERMODULATION DISTORTION 2.1 CONCEPT OF SELF-HEATING As the frequency of operation increases, especially in the

More information

Compact Distributed Phase Shifters at X-Band Using BST

Compact Distributed Phase Shifters at X-Band Using BST Integrated Ferroelectrics, 56: 1087 1095, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390259623 Compact Distributed Phase Shifters at X-Band Using

More information

CHAPTER 2 MICROSTRIP REFLECTARRAY ANTENNA AND PERFORMANCE EVALUATION

CHAPTER 2 MICROSTRIP REFLECTARRAY ANTENNA AND PERFORMANCE EVALUATION 43 CHAPTER 2 MICROSTRIP REFLECTARRAY ANTENNA AND PERFORMANCE EVALUATION 2.1 INTRODUCTION This work begins with design of reflectarrays with conventional patches as unit cells for operation at Ku Band in

More information

Chapter-2 LOW PASS FILTER DESIGN 2.1 INTRODUCTION

Chapter-2 LOW PASS FILTER DESIGN 2.1 INTRODUCTION Chapter-2 LOW PASS FILTER DESIGN 2.1 INTRODUCTION Low pass filters (LPF) are indispensable components in modern wireless communication systems especially in the microwave and satellite communication systems.

More information

Analysis and design of lumped element Marchand baluns

Analysis and design of lumped element Marchand baluns Downloaded from orbit.dtu.d on: Mar 14, 218 Analysis and design of lumped element Marchand baluns Johansen, Tom Keinice; Krozer, Vitor Published in: 17th International Conference on Microwaves, Radar and

More information

Varactor Loaded Transmission Lines for Linear Applications

Varactor Loaded Transmission Lines for Linear Applications Varactor Loaded Transmission Lines for Linear Applications Amit S. Nagra ECE Dept. University of California Santa Barbara Acknowledgements Ph.D. Committee Professor Robert York Professor Nadir Dagli Professor

More information

L-BAND COPLANAR SLOT LOOP ANTENNA FOR INET APPLICATIONS

L-BAND COPLANAR SLOT LOOP ANTENNA FOR INET APPLICATIONS L-BAND COPLANAR SLOT LOOP ANTENNA FOR INET APPLICATIONS Jeyasingh Nithianandam Electrical and Computer Engineering Department Morgan State University, 500 Perring Parkway, Baltimore, Maryland 5 ABSTRACT

More information

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW Progress In Electromagnetics Research Letters, Vol. 8, 151 159, 2009 A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW C.-P. Chang, C.-C. Su, S.-H. Hung, and Y.-H. Wang Institute of Microelectronics,

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY Progress In Electromagnetics Research B, Vol. 22, 171 185, 2010 ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY G. A. Wang, W. Woods,

More information

University of KwaZulu-Natal

University of KwaZulu-Natal University of KwaZulu-Natal School of Engineering Electrical, Electronic & Computer Engineering UNIVERSITY EXAMINATIONS NOVEMBER 2015 ENEL3EM: EM THEORY Time allowed: 2 hours Instructions to Candidates:

More information

Microwave Devices and Circuit Design

Microwave Devices and Circuit Design Microwave Devices and Circuit Design Ganesh Prasad Srivastava Vijay Laxmi Gupta MICROWAVE DEVICES and CIRCUIT DESIGN GANESH PRASAD SRIVASTAVA Professor (Retired) Department of Electronic Science University

More information

Plastic straw: future of high-speed signaling

Plastic straw: future of high-speed signaling Supplementary Information for Plastic straw: future of high-speed signaling Ha Il Song, Huxian Jin, and Hyeon-Min Bae * Korea Advanced Institute of Science and Technology (KAIST), Department of Electrical

More information

COMPACT DESIGN AND SIMULATION OF LOW PASS MICROWAVE FILTER ON MICROSTRIP TRANSMISSION LINE AT 2.4 GHz

COMPACT DESIGN AND SIMULATION OF LOW PASS MICROWAVE FILTER ON MICROSTRIP TRANSMISSION LINE AT 2.4 GHz International Journal of Management, IT & Engineering Vol. 7 Issue 7, July 2017, ISSN: 2249-0558 Impact Factor: 7.119 Journal Homepage: Double-Blind Peer Reviewed Refereed Open Access International Journal

More information

Equivalent Circuit Model Overview of Chip Spiral Inductors

Equivalent Circuit Model Overview of Chip Spiral Inductors Equivalent Circuit Model Overview of Chip Spiral Inductors The applications of the chip Spiral Inductors have been widely used in telecommunication products as wireless LAN cards, Mobile Phone and so on.

More information

Optically reconfigurable balanced dipole antenna

Optically reconfigurable balanced dipole antenna Loughborough University Institutional Repository Optically reconfigurable balanced dipole antenna This item was submitted to Loughborough University's Institutional Repository by the/an author. Citation:

More information

Lecture 4 RF Amplifier Design. Johan Wernehag, EIT. Johan Wernehag Electrical and Information Technology

Lecture 4 RF Amplifier Design. Johan Wernehag, EIT. Johan Wernehag Electrical and Information Technology Lecture 4 RF Amplifier Design Johan Wernehag, EIT Johan Wernehag Electrical and Information Technology Lecture 4 Design of Matching Networks Various Purposes of Matching Voltage-, Current- and Power Matching

More information

Microwave and optical systems Introduction p. 1 Characteristics of waves p. 1 The electromagnetic spectrum p. 3 History and uses of microwaves and

Microwave and optical systems Introduction p. 1 Characteristics of waves p. 1 The electromagnetic spectrum p. 3 History and uses of microwaves and Microwave and optical systems Introduction p. 1 Characteristics of waves p. 1 The electromagnetic spectrum p. 3 History and uses of microwaves and optics p. 4 Communication systems p. 6 Radar systems p.

More information

Gain Slope issues in Microwave modules?

Gain Slope issues in Microwave modules? Gain Slope issues in Microwave modules? Physical constraints for broadband operation If you are a microwave hardware engineer you most likely have had a few sobering experiences when you test your new

More information

Inset Fed Microstrip Patch Antenna for X-Band Applications

Inset Fed Microstrip Patch Antenna for X-Band Applications Inset Fed Microstrip Patch Antenna for X-Band Applications Pradeep H S Dept.of ECE, Siddaganga Institute of Technology, Tumakuru, Karnataka. Abstract Microstrip antennas play an important role in RF Communication.

More information

ALMA MEMO #360 Design of Sideband Separation SIS Mixer for 3 mm Band

ALMA MEMO #360 Design of Sideband Separation SIS Mixer for 3 mm Band ALMA MEMO #360 Design of Sideband Separation SIS Mixer for 3 mm Band V. Vassilev and V. Belitsky Onsala Space Observatory, Chalmers University of Technology ABSTRACT As a part of Onsala development of

More information

MICROSTRIP AND WAVEGUIDE PASSIVE POWER LIMITERS WITH SIMPLIFIED CONSTRUCTION

MICROSTRIP AND WAVEGUIDE PASSIVE POWER LIMITERS WITH SIMPLIFIED CONSTRUCTION Journal of Microwaves and Optoelectronics, Vol. 1, No. 5, December 1999. 14 MICROSTRIP AND WAVEGUIDE PASSIVE POWER IMITERS WITH SIMPIFIED CONSTRUCTION Nikolai V. Drozdovski & ioudmila M. Drozdovskaia ECE

More information

University of KwaZulu-Natal

University of KwaZulu-Natal University of KwaZulu-Natal School of Engineering Electrical, Electronic & Computer Engineering Instructions to Candidates: UNIVERSITY EXAMINATIONS DECEMBER 2016 ENEL3EM: EM THEORY Time allowed: 2 hours

More information

LECTURE 6 BROAD-BAND AMPLIFIERS

LECTURE 6 BROAD-BAND AMPLIFIERS ECEN 54, Spring 18 Active Microwave Circuits Zoya Popovic, University of Colorado, Boulder LECTURE 6 BROAD-BAND AMPLIFIERS The challenge in designing a broadband microwave amplifier is the fact that the

More information

QUADRI-FOLDED SUBSTRATE INTEGRATED WAVEG- UIDE CAVITY AND ITS MINIATURIZED BANDPASS FILTER APPLICATIONS

QUADRI-FOLDED SUBSTRATE INTEGRATED WAVEG- UIDE CAVITY AND ITS MINIATURIZED BANDPASS FILTER APPLICATIONS Progress In Electromagnetics Research C, Vol. 23, 1 14, 2011 QUADRI-FOLDED SUBSTRATE INTEGRATED WAVEG- UIDE CAVITY AND ITS MINIATURIZED BANDPASS FILTER APPLICATIONS C. A. Zhang, Y. J. Cheng *, and Y. Fan

More information

Microwave Circuits Design. Microwave Filters. high pass

Microwave Circuits Design. Microwave Filters. high pass Used to control the frequency response at a certain point in a microwave system by providing transmission at frequencies within the passband of the filter and attenuation in the stopband of the filter.

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

Microwave and RF Engineering

Microwave and RF Engineering Microwave and RF Engineering Volume 1 An Electronic Design Automation Approach Ali A. Behagi and Stephen D. Turner BT Microwave LLC State College, PA 16803 Copyrighted Material Microwave and RF Engineering

More information

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques From September 2002 High Frequency Electronics Copyright 2002, Summit Technical Media, LLC Accurate Simulation of RF Designs Requires Consistent Modeling Techniques By V. Cojocaru, TDK Electronics Ireland

More information

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION 6.1 Introduction In this chapter we have made a theoretical study about carbon nanotubes electrical properties and their utility in antenna applications.

More information

QUASI-ELLIPTIC MICROSTRIP BANDSTOP FILTER USING TAP COUPLED OPEN-LOOP RESONATORS

QUASI-ELLIPTIC MICROSTRIP BANDSTOP FILTER USING TAP COUPLED OPEN-LOOP RESONATORS Progress In Electromagnetics Research C, Vol. 35, 1 11, 2013 QUASI-ELLIPTIC MICROSTRIP BANDSTOP FILTER USING TAP COUPLED OPEN-LOOP RESONATORS Kenneth S. K. Yeo * and Punna Vijaykumar School of Architecture,

More information

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits George E. Ponchak 1, Steve Robertson 2, Fred Brauchler 2, Jack East 2, Linda P. B. Katehi 2 (1) NASA Lewis Research

More information

Foundations of Interconnect and Microstrip Design

Foundations of Interconnect and Microstrip Design Foundations of Interconnect and Microstrip Design Third Edition T. C. Edwards and M. B. Steer Engalco and University of Leeds, North Carolina State University JOHN WILEY & SONS Chichester. New York. Brisbane.

More information

Broadband Rectangular Waveguide to GCPW Transition

Broadband Rectangular Waveguide to GCPW Transition Progress In Electromagnetics Research Letters, Vol. 46, 107 112, 2014 Broadband Rectangular Waveguide to GCPW Transition Jun Dong 1, *, Tao Yang 1, Yu Liu 1, Ziqiang Yang 1, and Yihong Zhou 2 Abstract

More information

Review on Various Issues and Design Topologies of Edge Coupled Coplanar Waveguide Filters

Review on Various Issues and Design Topologies of Edge Coupled Coplanar Waveguide Filters Review on Various Issues and Design Topologies of Edge Coupled Coplanar Waveguide Filters Manoj Kumar *, Ravi Gowri Department of Electronics and Communication Engineering Graphic Era University, Dehradun,

More information

REALIZATION OF A COMPACT BRANCH-LINE COU- PLER USING QUASI-FRACTAL LOADED COUPLED TRANSMISSION-LINES

REALIZATION OF A COMPACT BRANCH-LINE COU- PLER USING QUASI-FRACTAL LOADED COUPLED TRANSMISSION-LINES Progress In Electromagnetics Research C, Vol. 13, 33 40, 2010 REALIZATION OF A COMPACT BRANCH-LINE COU- PLER USING QUASI-FRACTAL LOADED COUPLED TRANSMISSION-LINES M. Nosrati Faculty of Engineering Department

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

DESIGN OF SEVERAL POWER DIVIDERS USING CPW- TO-MICROSTRIP TRANSITION

DESIGN OF SEVERAL POWER DIVIDERS USING CPW- TO-MICROSTRIP TRANSITION Progress In Electromagnetics Research Letters, Vol. 41, 125 134, 2013 DESIGN OF SEVERAL POWER DIVIDERS USING CPW- TO-MICROSTRIP TRANSITION Maoze Wang *, Fushun Zhang, Jian Sun, Ke Chen, and Bin Wen National

More information

Analysis of Microstrip Circuits Using a Finite-Difference Time-Domain Method

Analysis of Microstrip Circuits Using a Finite-Difference Time-Domain Method Analysis of Microstrip Circuits Using a Finite-Difference Time-Domain Method M.G. BANCIU and R. RAMER School of Electrical Engineering and Telecommunications University of New South Wales Sydney 5 NSW

More information

Quasi-TEM Analysis of Multilayer Coplanar Waveguide Broadside Coupled Lines Balun

Quasi-TEM Analysis of Multilayer Coplanar Waveguide Broadside Coupled Lines Balun uasi-tem Analysis of Multilayer Coplanar Waveguide Broadside Coupled Lines Balun Sarhan M. Musa and Matthew N. O. Sadiku, Roy G. Perry College of Engineering, Prairie iew A&M University Prairie iew, TX,

More information

ON THE STUDY OF LEFT-HANDED COPLANAR WAVEGUIDE COUPLER ON FERRITE SUBSTRATE

ON THE STUDY OF LEFT-HANDED COPLANAR WAVEGUIDE COUPLER ON FERRITE SUBSTRATE Progress In Electromagnetics Research Letters, Vol. 1, 69 75, 2008 ON THE STUDY OF LEFT-HANDED COPLANAR WAVEGUIDE COUPLER ON FERRITE SUBSTRATE M. A. Abdalla and Z. Hu MACS Group, School of EEE University

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Free EM Simulator Analyzes Spiral Inductor on Silicon

Free EM Simulator Analyzes Spiral Inductor on Silicon Free EM Simulator Analyzes Spiral Inductor on Silicon by James C. Rautio Sonnet Software, Inc. 1020 Seventh North Street, Suite 210 Liverpool, NY 13088 (315)453-3096 info@sonnetusa.com http://www.sonnetusa.com

More information

Γ L = Γ S =

Γ L = Γ S = TOPIC: Microwave Circuits Q.1 Determine the S parameters of two port network consisting of a series resistance R terminated at its input and output ports by the characteristic impedance Zo. Q.2 Input matching

More information

ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration

ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration ECE 4265/6265 Laboratory Project 7 Network Analyzer Calibration Objectives The purpose of this lab is to introduce the concepts of calibration and error correction for microwave s-parameter measurements.

More information

Microwave and RF Engineering

Microwave and RF Engineering Microwave and RF Engineering A Simulation Approach with Keysight Genesys Software Chapter 4: Resonant Circuits and Filters Ali A. Behagi Stephen D. Turner Microwave and RF Engineering A Simulation Approach

More information

AM BASIC ELECTRONICS TRANSMISSION LINES JANUARY 2012 DEPARTMENT OF THE ARMY MILITARY AUXILIARY RADIO SYSTEM FORT HUACHUCA ARIZONA

AM BASIC ELECTRONICS TRANSMISSION LINES JANUARY 2012 DEPARTMENT OF THE ARMY MILITARY AUXILIARY RADIO SYSTEM FORT HUACHUCA ARIZONA AM 5-306 BASIC ELECTRONICS TRANSMISSION LINES JANUARY 2012 DISTRIBUTION RESTRICTION: Approved for Pubic Release. Distribution is unlimited. DEPARTMENT OF THE ARMY MILITARY AUXILIARY RADIO SYSTEM FORT HUACHUCA

More information

Recon UWB Antenna for Cognitive Radio

Recon UWB Antenna for Cognitive Radio Progress In Electromagnetics Research C, Vol. 79, 79 88, 2017 Recon UWB Antenna for Cognitive Radio DeeplaxmiV.Niture *, Santosh S. Jadhav, and S. P. Mahajan Abstract This paper talks about a simple printed

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

MICROSTRIP PHASE INVERTER USING INTERDIGI- TAL STRIP LINES AND DEFECTED GROUND

MICROSTRIP PHASE INVERTER USING INTERDIGI- TAL STRIP LINES AND DEFECTED GROUND Progress In Electromagnetics Research Letters, Vol. 29, 167 173, 212 MICROSTRIP PHASE INVERTER USING INTERDIGI- TAL STRIP LINES AND DEFECTED GROUND X.-C. Zhang 1, 2, *, C.-H. Liang 1, and J.-W. Xie 2 1

More information

A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS

A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS Progress In Electromagnetics Research C, Vol. 14, 131 145, 21 A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS C.-Y. Hsiao Institute of Electronics Engineering National

More information

SMT Hybrid Couplers, RF Parameters and Applications

SMT Hybrid Couplers, RF Parameters and Applications SMT Hybrid Couplers, RF Parameters and Applications A 90 degree hybrid coupler is a four-port device used to equally split an input signal into two signals with a 90 degree phase shift between them. The

More information

Chapter 7 Design of the UWB Fractal Antenna

Chapter 7 Design of the UWB Fractal Antenna Chapter 7 Design of the UWB Fractal Antenna 7.1 Introduction F ractal antennas are recognized as a good option to obtain miniaturization and multiband characteristics. These characteristics are achieved

More information

SIZE REDUCTION AND HARMONIC SUPPRESSION OF RAT-RACE HYBRID COUPLER USING DEFECTED MICROSTRIP STRUCTURE

SIZE REDUCTION AND HARMONIC SUPPRESSION OF RAT-RACE HYBRID COUPLER USING DEFECTED MICROSTRIP STRUCTURE Progress In Electromagnetics Research Letters, Vol. 26, 87 96, 211 SIZE REDUCTION AND HARMONIC SUPPRESSION OF RAT-RACE HYBRID COUPLER USING DEFECTED MICROSTRIP STRUCTURE M. Kazerooni * and M. Aghalari

More information

A COMPACT DUAL-BAND POWER DIVIDER USING PLANAR ARTIFICIAL TRANSMISSION LINES FOR GSM/DCS APPLICATIONS

A COMPACT DUAL-BAND POWER DIVIDER USING PLANAR ARTIFICIAL TRANSMISSION LINES FOR GSM/DCS APPLICATIONS Progress In Electromagnetics Research Letters, Vol. 1, 185 191, 29 A COMPACT DUAL-BAND POWER DIVIDER USING PLANAR ARTIFICIAL TRANSMISSION LINES FOR GSM/DCS APPLICATIONS T. Yang, C. Liu, L. Yan, and K.

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Study of Microstrip Slotted Antenna for Bandwidth Enhancement

Study of Microstrip Slotted Antenna for Bandwidth Enhancement Global Journal of Researches in Engineering Electrical and Electronics Engineering Volume 2 Issue 9 Version. Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals Inc.

More information

Bandpass-Response Power Divider with High Isolation

Bandpass-Response Power Divider with High Isolation Progress In Electromagnetics Research Letters, Vol. 46, 43 48, 2014 Bandpass-Response Power Divider with High Isolation Long Xiao *, Hao Peng, and Tao Yang Abstract A novel wideband multilayer power divider

More information

Broadband Circular Polarized Antenna Loaded with AMC Structure

Broadband Circular Polarized Antenna Loaded with AMC Structure Progress In Electromagnetics Research Letters, Vol. 76, 113 119, 2018 Broadband Circular Polarized Antenna Loaded with AMC Structure Yi Ren, Xiaofei Guo *,andchaoyili Abstract In this paper, a novel broadband

More information

ECEN 5014, Spring 2009 Special Topics: Active Microwave Circuits Zoya Popovic, University of Colorado, Boulder

ECEN 5014, Spring 2009 Special Topics: Active Microwave Circuits Zoya Popovic, University of Colorado, Boulder ECEN 5014, Spring 2009 Special Topics: Active Microwave Circuits Zoya opovic, University of Colorado, Boulder LECTURE 3 MICROWAVE AMLIFIERS: INTRODUCTION L3.1. TRANSISTORS AS BILATERAL MULTIORTS Transistor

More information

Exercise problems of topic 1: Transmission line theory and typical waveguides

Exercise problems of topic 1: Transmission line theory and typical waveguides Exercise problems of topic 1: Transmission line theory and typical waveguides Return your answers in the contact sessions on a paper; either handwritten or typescripted. You can return them one by one.

More information

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design 57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design Tim LaRocca, and Frank Chang PA Symposium 1/20/09 Overview Introduction Design Overview Differential

More information

VSWR MEASUREMENT APPLICATION NOTE ANV004.

VSWR MEASUREMENT APPLICATION NOTE ANV004. APPLICATION NOTE ANV004 Bötelkamp 31, D-22529 Hamburg, GERMANY Phone: +49-40 547 544 60 Fax: +49-40 547 544 666 Email: info@valvo.com Introduction: VSWR stands for voltage standing wave ratio. The ratio

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT NAME & CODE: EC2403 & RF AND MICROWAVE ENGINEERING UNIT I

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT NAME & CODE: EC2403 & RF AND MICROWAVE ENGINEERING UNIT I FATIMA MICHAEL COLLEGE OF ENGINEERING & TECHNOLOGY Senkottai Village, Madurai Sivagangai Main Road, Madurai -625 020 An ISO 9001:2008 Certified Institution DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING

More information

A MINIATURIZED UWB BPF BASED ON NOVEL SCRLH TRANSMISSION LINE STRUCTURE

A MINIATURIZED UWB BPF BASED ON NOVEL SCRLH TRANSMISSION LINE STRUCTURE Progress In Electromagnetics Research Letters, Vol. 19, 67 73, 2010 A MINIATURIZED UWB BPF BASED ON NOVEL SCRLH TRANSMISSION LINE STRUCTURE J.-K. Wang and Y.-J. Zhao College of Information Science and

More information

An E-band Voltage Variable Attenuator Realised on a Low Cost 0.13 m PHEMT Process

An E-band Voltage Variable Attenuator Realised on a Low Cost 0.13 m PHEMT Process An E-band Voltage Variable Attenuator Realised on a Low Cost 0.13 m PHEMT Process Abstract Liam Devlin and Graham Pearson Plextek Ltd (liam.devlin@plextek.com) E-band spectrum at 71 to 76GHz and 81 to

More information

RF Devices and RF Circuit Design for Digital Communication

RF Devices and RF Circuit Design for Digital Communication RF Devices and RF Circuit Design for Digital Communication Agenda Fundamentals of RF Circuits Transmission ine Reflection Coefficient & Smith Chart Impedance Matching S-matrix Representation Amplifiers

More information