"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)"

Similar documents
(Complementary E-Beam Lithography)

Enabling Semiconductor Innovation and Growth

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Proposers Day Workshop

CLSA Investors Forum 2017

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

EUV lithography: today and tomorrow

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

The future of lithography and its impact on design

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Lithography in our Connected World

EUV Light Source The Path to HVM Scalability in Practice

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Update on 193nm immersion exposure tool

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Mask Technology Development in Extreme-Ultraviolet Lithography

EUVL: Challenges to Manufacturing Insertion

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Weebit Nano (ASX: WBT) Silicon Oxide ReRAM Technology

2015 ITRS/RC Summer Meeting

Present Status and Future Prospects of EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

GSEF 2019 Advisory Board

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Metrology in the context of holistic Lithography

HOW TO CONTINUE COST SCALING. Hans Lebon

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Intel Technology Journal

Progresses in NIL Template Fabrication Naoya Hayashi

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Market and technology trends in advanced packaging

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUVL getting ready for volume introduction

Mask magnification at the 45-nm node and beyond

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Litho Metrology. Program

Beyond Moore the challenge for Europe

Day One 13 March Day Two 14 March 2019

MAPPER: High throughput Maskless Lithography

Update: SOI Wafer Market Continues Its Growth

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Application-Based Opportunities for Reused Fab Lines

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Lithography Industry Collaborations

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Advanced Patterning Techniques for 22nm HP and beyond

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

EUV Supporting Moore s Law

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

DSA and 193 immersion lithography

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

The Need for Multiple Alternatives for sub-20 nm Lithography

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Lithography on the Edge

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Beyond Immersion Patterning Enablers for the Next Decade

Optics for EUV Lithography

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Optical Microlithography XXVIII

Toward 5nm node ; Untoward Scaling with Multi-patterning

Facing Moore s Law with Model-Driven R&D

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

It s Time for 300mm Prime

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Novel EUV Resist Development for Sub-14nm Half Pitch

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Scope and Limit of Lithography to the End of Moore s Law

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Limitations and Challenges to Meet Moore's Law

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

High-performance wire-grid polarizers using jet and Flash imprint lithography

Competitive in Mainstream Products

The SEMATECH Model: Potential Applications to PV

Lithography Session. EUV Lithography optics current status and outlook. F. Roozeboom Professor TU Eindhoven & TNO-Holst Centre, Eindhoven, Netherlands

Front to Back Alignment and Metrology Performance for Advanced Packaging

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Energy beam processing and the drive for ultra precision manufacturing

Roadmap Semiconductor Equipment Innovation Agenda

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Transcription:

"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)" Yan Borodovsky SPIE Fellow Leti Alternative Lithography Workshop, March 1, 2018, San Jose, CA, USA

The Future isn't what is used to be Yan Borodovsky SPIE Fellow Yan Borodovsky, Leti Alternative Lithography Workshop, March 1, 2018, San Jose, CA, USA

Moore s Law that used to be All based on Von Neumann Architecture Moore s law Graph Source: What lies beneath? 50 years of enabling Moore s Law, Mike Czerniak, Solid State Technology, Nov. 17, 2015 3

Moore s Law to be Von Neumann AND Non-Von Neumann 4

2022-2024 Computing Platforms Source: Neuromorphic Computing: From Materials to Systems Architecture Report of a Roundtable Convened to Consider Neuromorphic Computing Basic Research Needs, October 29-30, Gaithersburg, MD, USA, US DOE, Office of Scince https://science.energy.gov/~/media/bes/pdf/reports/2016/ncfmtsa_rpt.pdf 5

Von Neumann AND Non-Von Neumann already here Loihi simulates a total of 130,000 neurons and 130 million synapses, all capable of communicating with each other comparable to some small insects. For example, a common fruit fly, an insect studied for AI research, has about 250,000 neurons and 10 million synapses. human brain - 100 billion neurons, https://www.extremetech.com/computing/256467-intel-unveils-newneuromorphic-self-learning-chip-codenamed-loihi 6

Von Neumann AND Non-Von Neumann already here This is Control Programming Unit >50% area is defect intolerant This is Neuromorphic Part and it is (or will be) defect tolerant Loihi simulates a total of 130,000 neurons and 130 million synapses, all capable of communicating with each other comparable to some small insects. For example, a common fruit fly, an insect studied for AI research, has about 250,000 neurons and 10 million synapses. human brain - 100 billion neurons, https://www.extremetech.com/computing/256467-intel-unveils-newneuromorphic-self-learning-chip-codenamed-loihi 7

Big 2017 Story EUV Ecosystem status gives enough confidence to customers to place multiple tools orders Source: https://staticwww.asml.com/doclib/investor/financial_results/2018/asml_20180107_presentation.pdf 8

Foundries 7nm (+, ++) EUV Source: ASML 2017 Second-Quarter Results Webcast Presentation 9

Stochastic Patterning Failures must be eliminated for EUV HVM 10

With 10B Via/Cuts per chip 5σ process will kill every chip zero yield 11

Via Patterning with EUV NA = 0.33 Illum. quad with σ=0.2 0.33 NA Limit 0.55NA Limit YB 2/26/2018 26X32mm die 12

Photon Shot Noise AND Resist Inhomogeneity produce Stochastic Noise in Litho Channel 16-nm HP contacts ; NA = 0.33 Illum. - optimized quad (σ=0.1) 13

Stochastic Noise in the Channel is Significant - parts per several millions Best Focus only YB 14

Via Patterning with EUV 26X32mm die Year Node EUV 2019 ~7nm 1X 2020 5nm 2X 2022 3nm 3X 2026 1.5nm? YB Yan Borodovsky, SPIE Advanced Lithography, February 26,2018, San Jose, CA, USA 15

Source: Features Patterned with NXE 3300 NA=0.33 16

Stochastic Nanofailures 17

Yield and Stochastic Failures 5nm Node Source: Peter De Bisschop, Stochastic effects in EUV lithography: random, local CD variability, and printing failures, J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017), doi: 10.1117/1.JMM.16.4.041013. 18

Defectivity Intolerance of Von Neumann Computing will eventually result in end of viable area scaling. 5nm Node and below will be progressively defect prone due to impact of Stochastic effects in EUV Litho. Defects = Yield 19

Bioinspired Computing will be a vehicle to get Through Defect Limiting Moore s Law Wall Source The future of electronics based on memristive systems Mohammed A. Zidan, John Paul Strachan & Wei D. Lu Nature Electronics 1, 22 29 (2018),doi:10.1038/s41928-017-0006-8 20

Beyond von Neumann Computing Opportunity for further scaling with 10 X defect density Neuromorphic Circuit circuit that in its structure mimics organization of the brain Challenges and Opportunities for Efficient & Scalable Neuromorphic Systems, Vivek De, Intel, DAC54, 6/18-22/2017. Austin, TX USA 21

Bioinspired Computing might be a vehicle to get Through Defect Limiting Moore s Law Wall Neuromorphic Circuit mimic in its structure organization of the brain 22

RRAMs, Spin-Torque and other technologies are actively pursued as neuromorphic computing fundamental building blocks The future of electronics based on memristive systems Mohammed A. Zidan, John Paul Strachan & Wei D. Lu Nature Electronics 1, 22 29 (2018),doi:10.1038/s41928-017- 0006-8 23

Neuromorphic computing as enabler to break through next Moore s Law Wall Source: Prof. Chenchen Liu Presentation at DAC 17 Rescuing Memristor-based Neuromorphic Design with High Defects Chenchen Liu, * Miao Hu, * John Paul Strachan and Hai (Helen) Li; Clarkson University*Hewlett-Packard Labs Duke University Full Paper: DAC 17, June 18-22, 2017, Austin, TX, USA c 2017 ACM. ISBN 978-1-4503-4927-7/17/06... $15.00 DOI: http://dx.doi.org/10.1145/3061639.3062310 24

Proposed MPU Architecture Source: The future of electronics based on memristive systems Mohammed A. Zidan, John Paul Strachan & Wei D. Lu Nature Electronics 1, 22 29 (2018),doi:10.1038/s41928-017- 0006-8 25

Proposed MPU Architecture shall support defect prone IC scaling From Neuromophic device for Automotive by Yoshifumi Sakamoto, Engineering and Cognitive Innovation, IBM Japan, LTD, email: sakay@jp.ibm.com 26

Putting it all in Perspective Von Neumann Computing will eventually hit scaling wall as defectivity required to support it will reach < 10-14 /die features for overall 10-2 /cm2 defects per wafer/per print. Neuromorphic Computing can tolerate up to 4*10-1 defects per network. Multiple technologies that were under development and commercialization efforts for >10 years but were not adopted for Logic will become viable alternatives to EUV to drive parts density and cost as per Moore s Law NanoImprint for Logic J-FIL Patterning 30nm half-pitch at 60WPH From A review of nanoimprint lithography for high-volume semiconductor device manufacturing by Douglas J. Resnick/Jin Choi, Advanced Optical Technologies, Volume 6, Issue 3-4, 2017 NIL OK to support Neuromorphic parts manufacturing now? How will it scale during next 10 years? 27

DSA Defectivity OK to support Neuromorphic Products now? Source: DSA: How far have we come and how much further is left to go? Darron Jurajda, Brewer Science, 2016 28

DSA Defectivity OK to support Neuromorphic Products now? Source: DSA: How far have we come and how much further is left to go? Darron Jurajda, Brewer Science, 2016 29

2024 - WOW! From Neuromophic device for Automotive by Yoshifumi Sakamoto, Engineering and Cognitive Innovation, IBM Japan, LTD, email: sakay@jp.ibm.com 30

Defects If you cannot beat them Join them Future Path? EUV DSA, NIL Loihi simulates a total of 130,000 neurons and 130 million synapses, all capable of communicating with each other comparable to some small insects. For example, a common fruit fly, an insect studied for AI research, has about 250,000 neurons and 10 million synapses. human brain - 100 billion neurons, https://www.extremetech.com/computing/256467-intel-unveils-newneuromorphic-self-learning-chip-codenamed-loihi CPU Defect Intolerant Traditional Litho NMU- Defect Tolerant Minimal Cost Litho 31

Defects: If you cannot beat them join them Von Neumann Computing will eventually hit scaling wall as defectivity requirements will reach < 10-14 /chip features for overall 0.01/cm 2 defects/layer on a wafer. Neuromorphic Computing can tolerate up to 4*10-1 defects per network. Neuromorphic Computing defect tolerance will enable continuation of Moore s Law by augmenting Von Neumann Control parts on the same 2 chip part. Neuromorphic Computing is expected to be Artificial Intelligence workhorse. IDC forecasts Global Revenue for Cognitive and AI Systems going from $18B in 2017 to $46B in 2020 and Global Cognitive and AI Systems Spending annual growth rate (CAGR) of 54.4% through 2020. Word of caution: AI is at the top of Gartner Hype Cycle now. Collaboration between Technologists and Computer Architects is needed to create compelling computing products that take advantage of defect tolerant manufacturing. Directed Self Assembly and NanoImprint Lithography are in a good position to support Neuromorphic Computing parts patterning to provide necessary means to enable Moore s Law beyond capabilities of conventional Lithography 32

Thank you for your attention! Time for Questions 33

Presentation Format Most of the information to be presented are from my previous public talks approved by my former employer, Intel, for external presentation and publications. Those foils will be copied as is and will have Intel logo, colored background and information on where and when those were presented. Foils made for this presentation will be on simple white background and will have no Intel logo. Multiple foils will be using materials presented or published by others during 2015-2018 and will have its source shown on the foil. My additions to those, if any, will be in italic red. 34