15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL

Size: px
Start display at page:

Download "15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL"

Transcription

1 15EI205L-ANALOG AND DIGITAL INTEGRATED CIRCUITS LABORATORY MANUAL Department of Electronics and Instrumentation Engineering Faculty of Engineering and Technology Department of Electronics and Instrumentation Engineering SRM IST, SRM Nagar Kattankulathur Kancheepuram District Tamil Nadu

2 CONTENTS S.No. Page No. 1 Mark Assessment details 3 2 General Instructions for Laboratory classes 4 3 Syllabus 5 4 Introduction to the laboratory 7 5 List of Experiments 5.1 Implementation and testing of code converters Implementation and testing of multiplexers &demultiplexer Implementation of 4-Bit shift registers using flip flops Implementation and testing of counters using flip flops Design and implementation of 3-bit synchronous up/down counter Verification of Mathematical Applications of OP-AMP Verification of Characteristics of μa Design and testing of first order Low Pass and High Pass Active filters Design and testing of Phase shift Oscillators and Wein bridge oscillators 5.10 Design and testing of Monostable and Astable Multivibrator using NE555 TIMER

3 ALLOTMENT OF MARKS: 1. MARK ASSESSMENT DETAILS Internal assessment = 60 marks Practical examination = 40 marks Total = 100 marks INTERNAL ASSESSMENT (60 MARKS) Split up of internal marks Record Model exam Quiz/Viva Experiments Total 5 marks 10 marks 5 marks 40 marks 60 marks PRACTICAL EXAMINATION (40MARKS) Split up of practical examination marks Aim and Procedure Circuit Diagram Tabulation Result Viva voce Total 25 marks 30 marks 30 marks 05 marks 10 marks 100 marks 3

4 2. GENERAL INSTRUCTIONS FOR LABORATORY CLASSES 1. Enter the Lab with CLOSED TOE SHOES. 2. Students should wear lab coat. 3. The HAIR should be protected, let it not be loose. 4. TOOLS, APPARATUS and COMPONENT sets are to be returned before leaving the lab. 5. HEADINGS and DETAILS should be neatly written i. Aim of the experiment ii. iii. iv. Apparatus / Tools / Instruments required Theory Procedure / Algorithm / Program v. Model Calculations/ Design calculations vi. vii. Block Diagram / Flow charts/ Circuit diagram Tabulations/ Waveforms/ Graph viii. Result / discussions. 6. Experiment number and date should be written in the appropriate place. 7. After completing the experiment, the answer to pre lab viva-voce questions should be neatly written in the workbook. 8. Be REGULAR, SYSTEMATIC, PATIENT, ANDSTEADY. 4

5 3. SYLLABUS 15EI205L Co-requisite: Prerequisite: Data Book / Codes/Standards Analog and Digital Integrated Circuits Laboratory 15EI205 15EI201 NIL L T P C Course Category P PROFESSIONAL CORE ELECTRONICS ENGINEERING Course designed by Department of Electronics and Instrumentation Engineering Approval 32 nd Academic Council Meeting held on 23 rd July, 2016 PURPOSE To study various Analog, digital & Linear Integrated Circuits used in Simple System Configuration. INSTRUCTIONAL OBJECTIVES STUDENT OUTCOMES At the end of the course, student will be able to 1. Enable the students to understand the various types of combinational circuits a 2. Understand the various types of sequential circuits b 3. Study the Operational amplifier characteristics and applications b 4. Design and verify waveform generator circuits and filter circuits a e Sl. No. Description of experiments Contact hours C-D- I-O IOs Reference Implementation and testing of code converters. 3 C 1 1 Implementation and testing of multiplexers &demultiplexer 3 D 2 1 Implementation of 4-Bit shift registers using flip flops 3 D 1 1 Implementation and testing of counters using flip flops 3 C 4 1,2 5. Design and implementation of 3-bit synchronous up/down counter 3 C, D 4 1,2 5

6 Sl. No. Description of experiments Contact hours C-D- I-O IOs Reference Verification of Mathematical Applications of OP-AMP 3 C,I,O 1 1,2 Verification of Characteristics of μa741 3 C,I,O 1,4 1, Design and testing of first order Low Pass and High Pass Active filters Design and testing of Phase shift Oscillators and Wein bridge oscillators Design and testing of Monostable and Astable Multivibrator using NE555 TIMER Total contact hours 3 D,I,O 2 1,2 3 D,I,O 2,3 1,2 3 D 4 1,2 30 Sl. No. LEARNING RESOURCES REFERENCES 1. Laboratory Manual 2. Roy Choudhury. D and Shail. B. Jain, Linear Integrated Circuits, New Age International 4 th Edition, Gayakwad. R.A, Op-amps & Linear Integrated Circuits, Pearson education, 4 th Edition, Course nature Practical Assessment Method (Weightage 100%) Insemester Assessment tool Experiments Record MCQ/Quiz/Viva Voce Model examination Total Weightage 40% 5% 5% 10% 60% End semester examination Weightage : 40% 6

7 4. INTRODUCTION TO THE LABORATORY The Linear and Digital Integrated circuits course has been taught as a required course for electronics and instrumentation engineering students since the program s inception. The Linear Integrated Circuits course introduces the concept and application of operational amplifiers. Without a sufficient amount of laboratory experiments, students are left with rather vague concepts regardless of the instructor s effectiveness. Laboratory assignments clarify textbook formulas and examples, as well as help to close the gap between theory and real-life problems. An efficient laboratory experiment should: a) clearly relate to textbook materials, b) relate to real life situations, c) challenge students ability to design and test, and d) encourage the student to analyze the design and draw conclusion. With these considerations in mind a laboratory workbook has been developed for the linear and digital Integrated Circuits course. 7

8 Exercise Number:1 Title of the Experiment: IMPLEMENTATION AND TESTING OF CODE CONVERTORS Binary to BCD Convertor OBJECTIVE (AIM) OF THE EXPERIMENT To convert binary input to corresponding BCD code. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. Digital Trainer Kit IC7432 OR 1 3. IC7404 NOT 4 4. IC7408 AND 3 b) THEORY: The convertors are used for string to value conversions or translation to or from supported data types at design time and at run time. The conversion of the signals or the groups of signals in one code into corresponding signals or group of signals in another code. The process of converting a code of some predetermined bit structure such as 5 and 7. The bits for characters to another code with same or the different number of bits per character for the interval. The conversion of the signals or else the group of signals in another code. The code conversion is known as the process by which the code of some predetermined bits structure. The bits per characters to another code with same or different numbers of bits per a character interval. 8

9 c) PROCEDURE: 1. Check the gates in the circuit with the help of truth table. 2. Give the connections as per the circuit diagram. 3. Give input and verify the output. d) CIRCUIT DIAGRAM: 9

10 BINARY TO BCD TRUTH TABLE K-Map Simplification: A1 = AB C D + ABC D + AB CD + ABCD + AB C D + ABC D + AB CD + ABCD C D C D CD CD A B A B AB AB' A 1 = A B1 = A BC D + ABC D + A BCD + ABCD + A B CD + AB CD 10

11 C D C D CD CD A B A B AB AB' B1= BD + B CD C1 = A B CD + AB C D + A BCD + ABCD + A BCD + ABCD C D C D CD CD A B A B AB AB' C1= BC + CD D1 = A B C D + AB C D C D C D CD CD A B A B AB AB'

12 S = A BC D + ABC D + A B CD + AB CD + A BCD + ABCD C D C D CD CD A B A B AB AB' S = BD + CD BCD to Binary OBJECTIVE (AIM) OF THE EXPERIMENT To design BCD to Binary convertor using basic logic gates and verify it. FACILITIES REQUIRED AND PROCEDURE e) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. Digital Trainer Kit IC7408 AND 1 3. IC7432 OR 1 4. IC7486 XOR 1 12

13 Procedure: 1. Check the gate with the truth table. 2. Make the connections as per the circuit diagram. 3. Give input and verify the output. BCD TO BINARY LOGIC DIAGRAM 13

14 BCD TO BINARY TRUTH TABLE K-Map simplification: A1= E= 0 C D C D CD CD A B A B AB X X X X AB' 0 1 X X E= 1 C D C CD CD 14

15 D A B A B 0 1 X X AB X X X X AB' X X X X B1= E= 0 C D C D CD CD A B A B AB X X X X AB' 0 0 X X E= 1 C D C D CD CD A B A B 1 1 X X AB X X X X AB' X X X X C1= 15

16 E=0 C D C D CD CD A B A B AB X X X X AB' E=1 C D C D CD CD A B A B 1 1 X X AB X X X X AB' 0 0 X X D1= E=0 C D C D CD CD A B A B AB X X X X AB' 1 1 X X E=1 C D C D CD CD A B

17 A B 1 1 X X AB X X X X AB' X X X X Result: Thus the code convertors: Binary-BCD & BCD-Binary were tested and verified. Pre lab Questions: 1. Explain on the term code conversion. 2. Tabulate equivalent Gray code for decimal Tabulate equivalent Binary code for decimal 0-9. Post lab Questions: 1. Identify the advantage of using Gray code in K Map. 2. Among AND, OR, NAND and EX-OR- which is the suitable gate for comparing two bits. 3. Concert the following decimal numbers to Gray code 40, 65 and

18 Exercise Number: 2 Title of the Experiment: IMPLEMENTATION & TESTING OF MULTIPLEXER AND DE-MULTIPLEXER OBJECTIVE (AIM) OF THE EXPERIMENT To construct multiplexer and de-multiplexer circuits and verify the truth table. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. Digital Trainer Kit IC input AND 2 3. IC7404 NOT 1 4. IC7432 OR 1 5 Patch Cords - - b) THEORY: Multiplexer: It is a digital switch. It allows digital information from several sources to berouted into a single output lone. The base multiplier has several data input and a single output line. The selection of a particular input line is controlled by a set of select lines. De-Multiplexer: It is a circuit that receives information in one of the 2 n possible outputlines. The selection of specific output line is controlled by values of the n selection lines. c) PROCEDURE: 1. Construct the gates according to the circuit diagram. 2. Activate the gate and power supply. 3. Give the input and note the corresponding output. 4. Enter the output for various combinations. 5. Verify the output. 6. Repeat the procedure for the next circuit. 18

19 d) CIRCUIT DIAGRAM: MULTIPLEXER TRUTH TABLE S0 S1 OUTPUT 0 0 I0 0 1 I1 1 0 I2 1 1 I3 19

20 DEMULTIPLEXER 20

21 Result: Thus the implementation of MUX and De-MUX was successfully verified Pre lab Questions: 1. Illustrate the block diagram of Multiplexer. 2. Illustrate the block diagram of De-Multiplexer. 3. Multiplexer is also called a data selector. Justify? 4. Implement a 4:1 using only 2:1 MUX. 5. Implement a 2-input NAND function using suitable multiplexer. Post lab Questions: 1. Draw the logic diagram of 4:1 MUX using NOR gates. 2. Draw the block diagram of 1x4 DeMUX using 1x2 DeMUX. Draw its truth table. 3. Implement a Full Adder using two 8-to-1 MUX. 4. Implement a Full Adder using two 4-to-1 MUX and one inverter. 21

22 Exercise Number: 3 Title of the Experiment: IMPLEMENTATION OF 4-BIT SHIFT REGISTERS USING FLIP FLOPS OBJECTIVE (AIM) OF THE EXPERIMENT To convert a shift (both SIS0 and SIP0) and check its operations and verify the truth table. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. Digital Trainer Kit IC7474 D Flip Flop 1 b) THEORY: The binary information in a register can be moved from one stage to another stage within the register (or) into cut off register upon application of clock pulse. This type of movement on shifting is essential for operation used in micro-processor. This gives into rise of group of register called the shift register. c) PROCEDURE: 1. Connect the circuit as shown. 2. Connect circuit clock into place in the trainer kit. 3. Connect clock pulse to any of the input and keep them at HIGH. 4. Give power and check for pulse. 22

23 d) CIRCUIT DIAGRAM: SERIES INPUT PARALLEL OUTPUT CLOCK PULSE 23

24 TRUTH TABLE CLK NO. I/P Q1 Q2 Q

25 SERIES INPUT SERIES OUTPUT CLOCK PULSE 25

26 TRUTH TABLE CLK QA QB

27 Result: tables. Thus the shift registers were implemented using D Flip Flop and verified using truth Pre lab Questions: 1. What is a latch? 2. Differentiate between Flip flop and a Latch. 3. What does a clock pulse signify in a Flip flop 4. Explain: Positive edge triggered, negative edge triggered and level triggered- clocking operations. Post lab Questions: 1. List the applications of Flip flop s 2. What is the Difference between Combinational circuits and Flip-flop 3. Which logic gate is used as a two-bit adder? 4. Define shift register counters. 5. What is the use of Preset input? 27

28 Exercise Number: 4 Title of the Experiment: IMPLEMENTATION AND TESTING OF COUNTERS USING FLIP FLOPS OBJECTIVE (AIM) OF THE EXPERIMENT To design a 4-bit ripple counter using flip flops and to test the same using truth table. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. Digital IC trainer kit IC7473 JK Flip Flop 2 3. Patch Cords - Req. THEORY: A register that goes through a sequence of stages upon applications of a clock pulse is called a counter. The binary counter which consists of a flip-flop can count upon n-flip-flops which can count binary 0 to 2 n-1. A counter that follows the binary sequence is called binary counter. b) Procedure: 1. Connections are made as per the circuit diagram. 2. Apply clock pulse to first flip flop. 3. Verify the output using the truth table. 4. Give the input. 5. Verify using the truth table. 28

29 c) CIRCUIT DIAGRAM RIPPLE COUNTER 29

30 TRUTH TABLE CLK QD QC QB QA

31 Result: Thus a 4-bit ripple counter using JK Flip Flop was verified using truth table. Prelab Questions: 1. Explain the working of a counter 2. Justify the name ripple counter. 3. How many flip flops should be used in 2-bit and 4-bit counter. Post lab Questions: 1. List the applications of counters. 2. Design a 3-bit ripple counter. 3. Give the transition table and excitation table for JK Flip Flop. 31

32 Exercise Number: 5 Title of the Experiment: DESIGN AND IMPLEMENTATION OF -3 BIT SYNCHRONOUS UP/DOWN COUNTER OBJECTIVE (AIM) OF THE EXPERIMENT To design and implement a 3 bit synchronous up/down counter using JK flip flop. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. Digital IC trainer kit JK flip flop IC Connecting wires - Req. THEORY: In synchronous counters, the clock inputs of all the flip-flops are connected together and are triggered by the input pulses. Thus, all the flip-flops change state simultaneously (in parallel). A synchronous 3-bit up/down counter built from JK flip flops. Depending on the logic value on the Up/Down input, the counter will increment or decrement its value on the falling edge of the clock signal. b) PROCEDURE: 1. Place the IC on the IC trainer kit. 2. Connect Vcc and ground to the respective pins of IC trainer kit. 32

33 3. Connect the inputs to the inputs switches provided in the IC trainer kit. 4. Connect the outputs to the switches of O/P LED. 5. Apply various combinations of inputs according to the truth table and observe conditions of LED. c) CIRCUIT DIAGRAM: Truth Table: UP/DOWN CLK Q2 Q1 Q

34 Result: Thus the 3 bit synchronous up/down counter using JK flip flop was successfully implemented and truth table was verified. Prelab Questions: 1. List the different types of counters? 2. Define the propagation delay in ripple counter? 3. List out applications of counters 4. How many flip flops are required to construct a decade counter 5. How many Flip-Flops are required for mod 16 counter? Post lab Questions: 1. Explain the modulus of a counter? 2. Compare Synchronous and Asynchronous counters 3. Explain the working of 4 bit ripple counter with truth table and timing diagram 4. Explain the Synchronous decade counter 34

35 Exercise Number: 6 Title of the Experiment: VERIFICATION OF MATHEMATICAL APPLICATIONS OF AN OP-AMP OBJECTIVE (AIM) OF THE EXPERIMENT To study the following applications of OP-AMP (i) Adder (ii) Subtractor (iii) Differentiator (iv) Integrator FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. OPAMP IC Resistors 1kΩ, 2kΩ Each 3 10kΩ 3. Capacitors 0.1µF 3 4. RPS 0-30V 1 5 CRO 1MHz 1 6 Function Generator Connecting wires - Req. b) THEORY: ADDER OP-AMP may be used to design a circuit where output is the sum of several input signals. Such a circuit is called summing amplifier. Vout = Rf/Ri (V1+V2) 35

36 SUBTRACTOR A basic differential amplifier can be used as a subtractor. If all resistors are equal in value then the output voltage can be derived by using Vout = Rf/Ri (V1-V2) DIFFERENTIATOR One of the simplest circuits of OP-AMP that contains capacitor is the differentiating amplifier. As the name suggests the circuit performs the mathematical operation of differentiator, it connects an input square wave form to spikes. Vout = Rf C1(dv/dt) INTEGRATOR This is a circuit that performs the operation of integration because it produces the output voltage proportional to integral of input voltage. Vout = (-1/Rf)C1 [ fvi(t)dt + Vo(D) ] c) PROCEDURE: Hook up the circuit as shown in the circuit diagram. Switch on the power supply. Connect the function generator to the input of the circuit. Observe the output waveform in CRO. 36

37 d) CIRCUIT DIAGRAM: Adder Circuit: Subtractor Circuit: 37

38 Integrator Circuit: Model Graph: 38

39 Differentiator circuit: Model Graph: e) TABULATION: Adder: V0 V1 V2 Theoretical Practical 39

40 Subtractor: V0 V1 V2 Theoretical Practical Integrator: Input: Time/Division (s) No. of divisions Time Per.(s) Frequency F=1/T Amplitud e/divisio n (V) No. of Divisions Amplitu de (V) Output: Time/Division (s) No. of divisions Time Per.(s) Frequency F=1/T Amplitud e/divisio n (V) No. of Divisions Amplitu de (V) Differentiator Input: Time/Division No. of divisions Time Frequency Amplitud e/divisio No. of Divisions Amplitu de 40

41 (s) Per.(s) F=1/T n (V) (V) Output: Time/Division (s) No. of divisions Time Per.(s) Frequency F=1/T Amplitud e/divisio n (V) No. of Divisions Amplitu de (V) Result: Thus the applications of an Operational Amplifiers as an Adder, Sub tractor, Differentiator, and Integrator was successfully studied and verified. Pre lab Questions: 1. Define integrator? 2. Define differentiator? 3. What are the limitations of an ordinary differentiator? 4. What are the limitations of an ideal integrator? 5. What are the initial conditions of a loss integrator? Post lab Questions: 1. Explain how the practical differentiator will overcome the limitations? 2. What are the differences between integrator and differentiator? 3. State the applications of integrator and differentiator? 4. Explain why integrators are preferred in analog computers than differentiators? 41

42 Exercise Number: 7 Title of the Experiment: VERIFICATION OF THE CHARACTERISTICS OF ΜA741 OBJECTIVE (AIM) OF THE EXPERIMENT To study and verify the characteristics of an operational amplifier. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.NO APPARATUS SPECIFICATION QUANTITY 1. OPAMP IC Resistors 1kΩ, 2kΩ Each 3 10kΩ 3. Capacitors 0.1µF 3 4. RPS 0-30V 1 5 CRO 1MHz 1 6 Function Generator Connecting wires - Req. b) THEORY: Operational amplifiers (OP-AMP) has 2 input terminals and one output terminal. The negative and positive symbols at the input refer to inverting and non inverting terminals respectively. The simplest way to use an OP-AMP in the open loop mode where the signals V1, V2 are applied at non-inverting and inverting input terminal respectively. Since the infinite the output voltage Vo is either at it s positive saturation voltage. The output assumes one of the possible outputs positive +Vsat or Vsat and the amplifiers at a switch only this has a limited application as voltage compensation. 42

43 c) PROCEDURE: Input Offset Voltage: 1. Circuit connections are made as per the circuit diagram. 2. The output is measured on a CRO. 3. Input voltage is calculated using V=Vo(R1/R2) Bandwidth: 1. Circuit connections are made as per the circuit diagram. 2. Increasing the frequency till output voltage reduces 2.7 times the original value this gives the bandwidth Slew Rate: 1. Circuit connections are made and square wave input is given and output is observed in CRO. 2. The peak to peak voltage and time taken by output to switch from maximum is measured Slew Rate = ( V/ t) CMRR: 1. Circuit connections are made 2. Sinusoidal input is given and output is seen from CRO Acm= Common mode gain = (Vo/Vin) Pin Diagram: Ad = Differential mode gain = (R2/R1) CMRR = 20 lag (Ad/Ac) d) CIRCUIT DIAGRAM: 43

44 Result: verified. Thus the characteristics of an Operational Amplifier was successfully studied and Pre lab Questions: 1. What are the ideal characteristics of an Op-amp? 2. Define Slew rate? 3. Define CMRR? 4. What are the value of PSRR and CMRR for ideal op-amp? 5. What is the temperature range of IC741? Post lab Questions: 1. Draw the pin diagram of Op-Amp? 2. What are the differences between common mode and differential mode? 3. If V 0 = V in sin ωt Write the expression for slew rate (SR)? 4. What is virtual ground? 5. What are the non-ideal D.C characteristics of an Op-Amp? 6. Define input bias current, thermal drift and input offset voltage? 44

45 Exercise Number: 8 Title of the Experiment: DESIGN AND TESTING OF FIRST ORDER LOW PASS FILTER AND HIGH PASS ACTIVE FILTERS OBJECTIVE (AIM) OF THE EXPERIMENT To Design and study the characteristics of first order low pass and high pass filter. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.No Apparatus Quantity 1 Op-Amp 1 2 Resistors 3 3 Capacitors 1 4 Bread Board 1 5 DSO 1 6 Function Generator 1 7 Connecting Probes 2 8 Connecting Wires As required b) THEORY: Low Pass Filter: This first-order low pass active filter, consists simply of a passive RC filter stage providing a low frequency path to the input of a non-inverting operational amplifier. The amplifier is configured as a voltage-follower (Buffer) giving it a DC gain of one, Av = +1 or unity gain as opposed to the previous passive RC filter which has a DC gain of less than unity. 45

46 The frequency response of the circuit will be the same as that for the passive RC filter, except that the amplitude of the output is increased by the pass band gain, A F of the amplifier. For a non-inverting amplifier circuit, the magnitude of the voltage gain for the filter is given as a function of the feedback resistor ( R 2 ) divided by its corresponding input resistor ( R 1 ) value and is given as: Therefore, the gain of an active low pass filter as a function of frequency will be: Gain of a first-order low pass filter Where: A F = the pass band gain of the filter, (1 + R2/R1) ƒ = the frequency of the input signal in Hertz, (Hz) ƒc = the cut-off frequency in Hertz, (Hz) Thus, the operation of a low pass active filter can be verified from the frequency gain equation above as: 1. At very low frequencies, ƒ < ƒc 2. At the cut-off frequency, ƒ = ƒc 3. At very high frequencies, ƒ > ƒc Thus, the Active Low Pass Filter has a constant gain A F from 0Hz to the high frequency cut-off point, ƒ C. At ƒ C the gain is 0.707A F, and after ƒ C it decreases at a constant rate as the frequency increases. That is, when the frequency is increased tenfold (one decade), the voltage gain is divided by 10. In other words, the gain decreases 20dB (= 20log 10) each time the frequency is increased by 10. When dealing with filter circuits the magnitude of the pass band gain of the circuit is generally expressed in decibels or db as a function of the voltage gain, and this is defined as: Magnitude of Voltage Gain in (db) 46

47 High Pass Filter: A first-order (single-pole) Active High Pass Filter as its name implies, attenuates low frequencies and passes high frequency signals. It consists simply of a passive filter section followed by a non-inverting operational amplifier. The frequency response of the circuit is the same as that of the passive filter, except that the amplitude of the signal is increased by the gain of the amplifier and for a non-inverting amplifier the value of the pass band voltage gain is given as 1 + R2/R1, the same as for the low pass filter circuit. For a non-inverting amplifier circuit, the magnitude of the voltage gain for the filter is given as a function of the feedback resistor ( R2 ) divided by its corresponding input resistor ( R1 ) value and is given as: Gain for an Active High Pass Filter Where A F = the Pass band Gain of the filter, ( 1 + R2/R1 ) ƒ = the Frequency of the Input Signal in Hertz, (Hz) ƒc = the Cut-off Frequency in Hertz, (Hz) Just like the low pass filter, the operation of a high pass active filter can be verified from the frequency gain equation above as: 1. At very low frequencies, ƒ < ƒc 2. At the cut-off frequency, ƒ = ƒc 3. At very high frequencies, ƒ > ƒc 47

48 Then, the Active High Pass Filter has a gain A F that increases from 0Hz to the low frequency cut-off point, ƒ C at 20dB/decade as the frequency increases. At ƒ C the gain is 0.707A F, and after ƒ C all frequencies are pass band frequencies so the filter has a constant gain A F with the highest frequency being determined by the closed loop bandwidth of the op-amp. When dealing with filter circuits the magnitude of the pass band gain of the circuit is generally expressed in decibels or db as a function of the voltage gain, and this is defined as: Magnitude of Voltage Gain in (db) For a first-order filter the frequency response curve of the filter increases by 20dB/decade or 6dB/octave up to the determined cut-off frequency point which is always at -3dB below the maximum gain value. As with the previous filter circuits, the lower cut-off or corner frequency ( ƒc ) can be found by using the same formula: The corresponding phase angle or phase shift of the output signal is the same as that given for the passive RC filter and leads that of the input signal. It is equal to +45 o at the cut-off frequency ƒc value and is given as: c) PROCEDURE: 1. Connect the circuit as shown in the figure 2. Give an input signal Vi of 1-V (p-p) and measure the output voltage for different frequencies. 3. Plot frequency response 20 log (V 0 / V i ) Vs input frequency and find the 3db frequency. 4. Determine the cut-off frequency. 48

49 d) CIRCUIT DIAGRAM: Low Pass Filter High Pass Filter 49

50 Low Pass e) Tabulation: F(Hz) Output Voltage (V) Gain in db High Pass F(Hz) Output Voltage (V) Gain in db 50

51 Model Graph: Low Pass Filter High Pass Filter 51

52 Result: The first order low pass filter and high pass filter was designed and tested and its output was successfully verified. Prelab Questions: 1. Define filter. Post lab Questions: 2. Define low pass filter and high pass filter. 3. What are advantages of active filter over passive filter? 5. write down the transfer function of a first order butterworth normalised low pass filter and high pass filter. 6. Design a Low Pass Filter for frequency 1kHz. 7. Design a High Pass Filter for frequency 1kHz. 52

53 Exercise Number: 9 Title of the Experiment: DESIGN AND TESTING OF PHASE SHIFT OSCILLATORS AND WEIN BRIDGE OSCILLATORS. OBJECTIVE (AIM) OF THE EXPERIMENT To design, construct and test the RC Phase shift Oscillator and Wein Bridge Oscillator. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.No Apparatus Quantity 1 Op-Amp 1 2 Dual Power Supply 1 3 Resistors 6 4 Capacitors 3 5 CRO 1 6 Bread Board 1 7 Connecting Probes 2 8 Connecting Wires As Required b) THEORY: As oscillator is basically a feedback circuit where a fraction V f of the output voltage V 0 of an amplifier is fed back to the input in the same phase. For sustained oscillation, Aβ = 1. That is the magnitude condition IAβI = 1 and the phase condition Phase Shift Oscillator 53

54 The op-amp produces a phase shift of as it is used in inverting mode. An additional phase shift of is provided by the feedback RC network. The transfer function of the RC network can be easily calculated as, V f V src s R C s R C Letting s j, f1 f1 f1 1 5 j 6 f f f Where f RC For A 1, should be real. So the imaginary terms must be equal to zero, that is 3 f1 f1 6 0 f f (Or) f 1 6 f The frequency of the oscillation f 0 is given by f 0 1 6(2 RC) Rf 1 29R R 10R 1 Wein Bridge Oscillator: Another commonly used audio frequency oscillator is Wein bridge oscillator. The feedback signal in this circuit is connected to the (+) input terminal so that the op-amp is working as a non-inverting amplifier. Therefore, the feedback network need not provide any phase shift. The 54

55 circuit can be viewed as a wein bridge with sries RC network in one arm and a parallel RC network in the adjoining arm. Resistors R 1 and R f are connected in the remaining two arms. The condition of zero phase shift around the circuit is achieved by balancing the bridge. From the feedback network, the feedback factor is R 2 3 R j( R C 1/ C) For A 1, must be real and imaginary part must be zero. 1 RC 1 f0 2 RC 1 At f 0,. therefore, for sustained oscillation, the amplifier must have a gain of precisely 3. 3 However, from practical point of view, A may be slightly less or greater than 3. For A 3, the oscillation either die down or fail to start when power is first applied. For A 3, the oscillations will be growing. c) PROCEDURE: RC Phase Shift Oscillator: 1. Design the circuit for given f 0 and calculate the resistor values R 1, R 2 and R f 2. Connect the circuit as shown in the figure 3. Switch on the power supply and observe the waveform 4. Note down the amplitude and time period 5. Plot the wave forms on the graph sheet. Wein Bridge Oscillator: 1. Design the circuit for given f 0 and calculate the resistor values R, C, R f and R 1 2. Connect the circuit as shown in the figure 3. Switch on the power supply and observe the waveform 4. Note down the amplitude and time period 5. Plot the wave forms on the graph sheet. 55

56 d) CIRCUIT DIAGRAM: RC Phase Shift Oscillator Wein Bridge Oscillator 56

57 Model Graph: e) TABULATION: RC Phase Shift Oscillator Input Output Amplitude Time Period Frequency Amplitude Time Period Frequency 57

58 Wein Bridge Oscillator Input Output Amplitude Time Period Frequency Amplitude Time Period Frequency Result: Thus, the RC Phase shift oscillator and Wein Bridge Oscillator are designed and tested Pre lab Questions: 6. Define Oscillator. 7. List different types of oscillators. 8. Draw basic oscillator feedback circuit. Post lab Questions: 1. Explain how clipping is eliminated in wein bridge oscillator. 2. Draw the basic characteristics of a feedback oscillator. 58

59 3. What is the frequency range of LC Oscillator. 4. For sustained oscillations, what is the gain of the RC phase shift oscillator. 59

60 Exercise Number: 10 (a) Title of the Experiment: Design and testing of Astable Multivibrator using NE555 Timer OBJECTIVE (AIM) OF THE EXPERIMENT To design and test the monostable and astablemultivibrator using 555 Timer. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.No Apparatus Quantity 1 Function Generator 1 2 CRO 1 3 Dual RPS 1 4 IC 555 Timer 1 5 Bread Board 1 6 Resistors 2 7 Capacitors 2 8 Connecting wires and Probes As Required b) THEORY: An astablemultivibrator, often called a free-running multivibrator, is a rectangular-wave generating circuit. This circuit do not require an external trigger to change the state of the output. The time during which the output is either high or low is determined by two resistors and a capacitor, which are connected externally to the 555 timer. The time during which the capacitor charges from 1/3 Vcc to 2/3 Vcc is equal to the time the output is high and is given by, tc = 0.69 (R1 + R2) C 60

61 Similarly the time during which the capacitor discharges from 2/3 Vcc to 1/3 Vcc is equal to the time the output is low and is given by, td = 0.69 (R2) C Thus the total time period of the output waveform is, T = tc + td = 0.69 (R1 + 2 R2) C The term duty cycle is often used in conjunction with the astablemultivibrator. The duty cycle is the ratio of the time tc during which the output is high to the total time period T. It is generally expressed in percentage. In equation form, % duty cycle = [ R2 / (R1 + 2 R2)] x 100% or td / tc x 100% PIN DIAGRAM: c) PROCEDURE: 1. Connections are given as per the circuit diagram V supply is given to the + Vcc terminal of the timer IC. 3. At pin 3 the output waveform is observed with the help of a CRO 61

62 4. At pin 6 the capacitor voltage is obtained in the CRO and the V0 and Vc voltage waveforms are plotted in a graph sheet. d) CIRCUIT DIAGRAM: C 0.01μF DESIGN: Given f= KHz and duty cycle = 23% Therefore, Total time period, T = 1/f = 90 x 10-6 s We know, duty cycle = td / T 23 / 100 = td / 90 x 10-6, td = 0.23 x 90 x 10-6 Therefore, td = 20.7 x 10-6 s 62

63 and tc = T td = 90 x x 10-6 = 69.3 x 10-6 s We also know for an astablemultivibrator td = 0.69 (R2) C Assume C = 0.01 x 10-6 F, R2 = td /(0.69 x C) = 20.7 x 10-6 / (0.69 x 0.01 x 10-6) Therefore, R2 = 3KΩ tc = 0.69 (R1 + R2) C R1 = (tc / (0.69 x C)) R2 R1 = (69.3 x 10-6 / (0.69 x 0.01 x 10-6)) Therefore, R1 = 7 KΩ 6.8 KΩ 7.5 KΩ MODEL GRAPH: e) Tabular Column: S.No Amplitude Time Period t c t d 63

64 1 Output Voltage, V 0 2 Capacitor Voltage, V c Result: The design of the Astablemultivibrator circuit was done and the output voltage andcapacitor voltage waveforms were obtained. Pre-Lab QUESTIONS: 1. Define Offset voltage. 2. Define duty cycle. 3. Mention the applications of IC Give the methods for obtaining symmetrical square wave. 5. What is the other name for monostable multivibrator? Post-Lab Questions 1. Explain the operation of IC555 in astablemode.. 2. Why negative pulse is used as trigger? 64

65 Exercise Number: 10 (b) Title of the Experiment: Design and testing of Monostable Multivibrator using NE555 Timer OBJECTIVE (AIM) OF THE EXPERIMENT To design a monostable multivibrator for the given specifications using 555 Timer IC. FACILITIES REQUIRED AND PROCEDURE a) FACILITIES REQUIRED TO DO THE EXPERIMENT: S.No Apparatus Quantity 1 Function Generator 1 2 CRO 1 3 Dual RPS 1 4 IC 555 Timer 1 5 Bread Board 1 6 Resistors 2 7 Capacitors 2 8 Connecting wires and Probes As Required b) THEORY: A monostable multivibrator often called a one-shot multivibrator is a pulse generating circuit in which the duration of the pulse is determined by the RC network connected externallyto the 555 timer. In a stable or stand-by state the output of the circuit is approximately zero or atlogic low level. When an external trigger pulse is applied, the output is forced to go high(approx. Vcc). The time during which the output remains high is given by,tp = 1.1 R1 C 65

66 At the end of the timing interval, the output automatically reverts back to its logic low state. The output stays low until a trigger pulse is applied again. Then the cycle repeats. Thus the monostable state has only one stable state hence the name monostable. PIN DIAGRAM: c) PROCEDURE: 1. Connections are given as per the circuit diagram V supply is given to the + Vcc terminal of the timer IC. 3. A negative trigger pulse of 5V, 2 KHz is applied to pin 2 of the 555 IC 4. At pin 3 the output waveform is observed with the help of a CRO 5. At pin 6 the capacitor voltage is obtained in the CRO and the V0 and Vc voltage waveforms are plotted in a graph sheet. d) CIRCUIT DIAGRAM: 66

67 0.01μF C DESIGN: Given tp = 0.1ms, tp = 1.1 R1 C Assume C = 0.01 x 10-6 F, Therefore, R1 = 9.09 KΩ 9.1 KΩ 10 KΩ MODEL GRAPH: 67

68 e) Tabular Column: S.No Amplitude Time Period t c t d 1 Output Voltage, V 0 2 Capacitor Voltage, V c Result: The design of the Monostable multivibrator circuit was done and the input and outputwaveforms were obtained. Pre-Lab QUESTIONS: 1. Explain the operation of IC555 in monostable mode. 2. What is the charging time for capacitor in monostable mode? 3. What are the modes of operation of 555 timers? 68

69 Post-Lab Questions 1. Give the comparison between combinational circuits and sequential circuits. 2. What do you mean by present state? 3. Give the applications of 555 timers IC 69

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING (Regulation 2013) EE 6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LAB MANUAL 1 SYLLABUS OBJECTIVES: Working Practice in simulators / CAD Tools / Experiment

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET REV. NO. : REV.

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET REV. NO. : REV. Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. ISSUE NO. : ISSUE DATE: July 200 REV. NO. : REV.

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Dhanalakshmi College of Engineering

Dhanalakshmi College of Engineering Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai 601 301 DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LABORATORY III SEMESTER -

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-2012 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-2012 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-0 SCHEME OF VALUATION Subject Code: 40 Subject: PART - A 0. Which region of the transistor

More information

LINEAR IC APPLICATIONS

LINEAR IC APPLICATIONS 1 B.Tech III Year I Semester (R09) Regular & Supplementary Examinations December/January 2013/14 1 (a) Why is R e in an emitter-coupled differential amplifier replaced by a constant current source? (b)

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

DHANALAKSHMI COLLEGE OF ENGINEERING MANIMANGALAM. TAMBARAM, CHENNAI B.E. ELECTRICAL AND ELECTRONICS ENGINEERING III SEMESTER EE6311 Linear and Digital Integrated Circuits Laboratory LABORATORY MANUAL CLASS:

More information

LIC & COMMUNICATION LAB MANUAL

LIC & COMMUNICATION LAB MANUAL LIC & Communication Lab Manual LIC & COMMUNICATION LAB MANUAL FOR V SEMESTER B.E (E& ( E&C) (For private circulation only) NAME: DEPARTMENT OF ELECTRONICS & COMMUNICATION SRI SIDDHARTHA INSTITUTE OF TECHNOLOGY

More information

LINEAR INTEGRATED CIRCUITS APPLICATIONS LABORATORY OBSERVATION

LINEAR INTEGRATED CIRCUITS APPLICATIONS LABORATORY OBSERVATION LENDI INSTITUTE OF ENGINEERING AND TECHNOLOGY (Approved by A.I.C.T.E & Affiliated to JNTU, Kakinada) Jonnada (Village), Denkada (Mandal), Vizianagaram Dist 535005 Phone No. 08922-241111, 241112 E-Mail:

More information

Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab

Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab Subject Code: 1620408 Experiment-1 Aim: To obtain the characteristics of field effect transistor (FET). Theory: The Field Effect

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2013 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2013 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-03 SCHEME OF VALUATION Subject Code: 0 Subject: PART - A 0. What does the arrow mark indicate

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

INTEGRATED CIRCULTS AND APPLICATIONS

INTEGRATED CIRCULTS AND APPLICATIONS INTEGRATED CIRCULTS AND APPLICATIONS LAB MANUAL Subject Code : AEC106 Regulations : R16 Autonomous Class : V Semester (EEE) Prepared by Mrs. C DEEPTHI Assistant Professor Mr. MOHD.KHADIR Assistant Professor

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad 1 P a g e INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : INTEGRATED CIRCUITS APPLICATIONS Code

More information

Summer 2015 Examination

Summer 2015 Examination Summer 2015 Examination Subject Code: 17445 Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme.

More information

For input: Peak to peak amplitude of the input = volts. Time period for 1 full cycle = sec

For input: Peak to peak amplitude of the input = volts. Time period for 1 full cycle = sec Inverting amplifier: [Closed Loop Configuration] Design: A CL = V o /V in = - R f / R in ; Assume R in = ; Gain = ; Circuit Diagram: RF +10V F.G ~ + Rin 2 3 7 IC741 + 4 6 v0-10v CRO Model Graph Inverting

More information

AURORA S ENGINEERING COLLEGE BHONGIR, NALGONDA DIST

AURORA S ENGINEERING COLLEGE BHONGIR, NALGONDA DIST AURORA S ENGINEERING COLLEGE BHONGIR, NALGONDA DIST. 508116. Lab manual of IC APPLICATIONS LAB 3 rd Year 1 st Sem. ECE 2014-15 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING 1 PREFACE Integrated

More information

Scheme I Sample Question Paper

Scheme I Sample Question Paper Sample Question Paper Marks : 70 Time: 3 Hrs. Q.1) Attempt any FIVE of the following. 10 Marks a) Classify configuration of differential amplifier. b) Draw equivalent circuit of an OPAMP c) Suggest and

More information

EK307 Active Filters and Steady State Frequency Response

EK307 Active Filters and Steady State Frequency Response EK307 Active Filters and Steady State Frequency Response Laboratory Goal: To explore the properties of active signal-processing filters Learning Objectives: Active Filters, Op-Amp Filters, Bode plots Suggested

More information

GATE: Electronics MCQs (Practice Test 1 of 13)

GATE: Electronics MCQs (Practice Test 1 of 13) GATE: Electronics MCQs (Practice Test 1 of 13) 1. Removing bypass capacitor across the emitter leg resistor in a CE amplifier causes a. increase in current gain b. decrease in current gain c. increase

More information

INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL

INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL V SEMESTER Department of Electronics and communication Engineering Government Engineering College, Dahod-389151 http://www.gecdahod.ac.in/ L A B M A N U

More information

Assist Lecturer: Marwa Maki. Active Filters

Assist Lecturer: Marwa Maki. Active Filters Active Filters In past lecture we noticed that the main disadvantage of Passive Filters is that the amplitude of the output signals is less than that of the input signals, i.e., the gain is never greater

More information

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification:

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification: DIGITAL IC TRAINER Model : DE-150 Object: To Study the Operation of Digital Logic ICs TTL and CMOS. To Study the All Gates, Flip-Flops, Counters etc. To Study the both the basic and advance digital electronics

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2012 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2012 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-0 SCHEME OF VALUATION Subject Code: 0 Subject: Qn. PART - A 0. Which is the largest of three

More information

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100

ELECTRONIC CIRCUITS. Time: Three Hours Maximum Marks: 100 EC 40 MODEL TEST PAPER - 1 ELECTRONIC CIRCUITS Time: Three Hours Maximum Marks: 100 Answer five questions, taking ANY TWO from Group A, any two from Group B and all from Group C. All parts of a question

More information

ASTABLE MULTIVIBRATOR

ASTABLE MULTIVIBRATOR 555 TIMER ASTABLE MULTIIBRATOR MONOSTABLE MULTIIBRATOR 555 TIMER PHYSICS (LAB MANUAL) PHYSICS (LAB MANUAL) 555 TIMER Introduction The 555 timer is an integrated circuit (chip) implementing a variety of

More information

CHADALAWADA RAMANAMMA ENGINEERING COLLEGE (AUTONOMOUS) Chadalawada Nagar, Renigunta Road, Tirupati

CHADALAWADA RAMANAMMA ENGINEERING COLLEGE (AUTONOMOUS) Chadalawada Nagar, Renigunta Road, Tirupati IC APPLICATIONS LABORATORY MANUAL Subject Code : 15A04507 Regulations : R15 Class : V Semester (ECE) CHADALAWADA RAMANAMMA ENGINEERING COLLEGE (AUTONOMOUS) Chadalawada Nagar, Renigunta Road, Tirupati 517

More information

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code:

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code: MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code: Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as

More information

Lesson number one. Operational Amplifier Basics

Lesson number one. Operational Amplifier Basics What About Lesson number one Operational Amplifier Basics As well as resistors and capacitors, Operational Amplifiers, or Op-amps as they are more commonly called, are one of the basic building blocks

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

PROPOSED SCHEME OF COURSE WORK

PROPOSED SCHEME OF COURSE WORK PROPOSED SCHEME OF COURSE WORK Course Details: Course Title : LINEAR AND DIGITAL IC APPLICATIONS Course Code : 13EC1146 L T P C : 4 0 0 3 Program: : B.Tech. Specialization: : Electrical and Electronics

More information

1. LINEAR WAVE SHAPING

1. LINEAR WAVE SHAPING Aim: 1. LINEAR WAVE SHAPING i) To design a low pass RC circuit for the given cutoff frequency and obtain its frequency response. ii) To observe the response of the designed low pass RC circuit for the

More information

Question Paper Code: 21398

Question Paper Code: 21398 Reg. No. : Question Paper Code: 21398 B.E./B.Tech. DEGREE EXAMINATION, MAY/JUNE 2013 Fourth Semester Electrical and Electronics Engineering EE2254 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS (Regulation

More information

CHAPTER 6 DIGITAL INSTRUMENTS

CHAPTER 6 DIGITAL INSTRUMENTS CHAPTER 6 DIGITAL INSTRUMENTS 1 LECTURE CONTENTS 6.1 Logic Gates 6.2 Digital Instruments 6.3 Analog to Digital Converter 6.4 Electronic Counter 6.6 Digital Multimeters 2 6.1 Logic Gates 3 AND Gate The

More information

Concepts to be Reviewed

Concepts to be Reviewed Introductory Medical Device Prototyping Analog Circuits Part 3 Operational Amplifiers, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Concepts to be Reviewed Operational

More information

FIRSTRANKER. 1. (a) What are the advantages of the adjustable voltage regulators over the fixed

FIRSTRANKER. 1. (a) What are the advantages of the adjustable voltage regulators over the fixed Code No: 07A51102 R07 Set No. 2 1. (a) What are the advantages of the adjustable voltage regulators over the fixed voltage regulators. (b) Differentiate betweenan integrator and a differentiator. [8+8]

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Electronic Instrumentation

Electronic Instrumentation 5V 1 1 1 2 9 10 7 CL CLK LD TE PE CO 15 + 6 5 4 3 P4 P3 P2 P1 Q4 Q3 Q2 Q1 11 12 13 14 2-14161 Electronic Instrumentation Experiment 7 Digital Logic Devices and the 555 Timer Part A: Basic Logic Gates Part

More information

Electronics. Digital Electronics

Electronics. Digital Electronics Electronics Digital Electronics Introduction Unlike a linear, or analogue circuit which contains signals that are constantly changing from one value to another, such as amplitude or frequency, digital

More information

An active filter offers the following advantages over a passive filter:

An active filter offers the following advantages over a passive filter: ACTIVE FILTERS An electric filter is often a frequency-selective circuit that passes a specified band of frequencies and blocks or attenuates signals of frequencies outside this band. Filters may be classified

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28

Winter 14 EXAMINATION Subject Code: Model Answer P a g e 1/28 Subject Code: 17333 Model Answer P a g e 1/28 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) COURSE OBJECTIVE: ASSESSMENT SCHEME AND TEACHING STRATEGY

COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) COURSE OBJECTIVE: ASSESSMENT SCHEME AND TEACHING STRATEGY COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) This course introduces the student to the Amplifier; Differential amplifier; Operational amplifier; Oscillators; Basic digital circuits Schmitt

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code:173 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The

More information

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL AIMS The general aims of the subject are : 1. to foster an interest in and an enjoyment of electronics as a practical and intellectual discipline; 2. to develop

More information

Low Pass Filter Introduction

Low Pass Filter Introduction Low Pass Filter Introduction Basically, an electrical filter is a circuit that can be designed to modify, reshape or reject all unwanted frequencies of an electrical signal and accept or pass only those

More information

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS)

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) Recognized by AICTE, NBA, NAAC and Govt. of A.P. Affiliated by J.N.T.U.A., ANANTAPUR R.V.S. Nagar, Tirupati Road, CHITTOOR- 517127 DEPARTMENT

More information

St.MARTIN S ENGINEERING COLLEGE

St.MARTIN S ENGINEERING COLLEGE St.MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electrical and Electronics Engineering : III B. Tech I Semester : IC Applications OBJECTIVES QUESTION

More information

ST.ANNE S COLLEGE OF ENGINEERING AND TECHNOLOGY ANGUCHETTYPALAYAM, PANRUTI Department of Electronics & Communication Engineering OBSERVATION

ST.ANNE S COLLEGE OF ENGINEERING AND TECHNOLOGY ANGUCHETTYPALAYAM, PANRUTI Department of Electronics & Communication Engineering OBSERVATION ST.ANNE S COLLEGE OF ENGINEERING AND TECHNOLOGY ANGUCHETTYPALAYAM, PANRUTI 67 Department of Electronics & Communication Engineering OBSERVATION EC836 ANALOG AND DIGITAL CIRCUITS LABORATORY STUDENT NAME

More information

RAJALAKSHMI ENGINEERING COLLEGE THANDALAM 602 105. DEPARTMENT OF ECE LAB MANUAL CLASS : II YEAR ECE SEMESTER : IV SEM (DEC 2009) SUBJECT CODE : EC2258 SUBJECT : LINEAR INTEGRATED CIRCUITS LAB PREPARED

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

LAB MANUAL EC6412- LINEAR INTEGRATED CIRCUIT LABORATORY. Dharmapuri Regulation : 2013 Branch : B.E. ECE

LAB MANUAL EC6412- LINEAR INTEGRATED CIRCUIT LABORATORY. Dharmapuri Regulation : 2013 Branch : B.E. ECE EC6412 LINEAR INTEGRATED CIRCUITS LABORATORY 1 Dharmapuri 636 703 LAB MANUAL Regulation : 2013 Branch Year & Semester : B.E. ECE : II Year / IV Semester EC6412- LINEAR INTEGRATED CIRCUIT LABORATORY EC6412

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE : PAGE:

More information

Gechstudentszone.wordpress.com

Gechstudentszone.wordpress.com 8.1 Operational Amplifier (Op-Amp) UNIT 8: Operational Amplifier An operational amplifier ("op-amp") is a DC-coupled high-gain electronic voltage amplifier with a differential input and, usually, a single-ended

More information

To design/build monostable multivibrators using 555 IC and verify their operation using measurements by observing waveforms.

To design/build monostable multivibrators using 555 IC and verify their operation using measurements by observing waveforms. AIM: SUBJECT: ANALOG ELECTRONICS (2130902) EXPERIMENT NO. 09 DATE : TITLE: TO DESIGN/BUILD MONOSTABLE MULTIVIBRATORS USING 555 IC AND VERIFY THEIR OPERATION USING MEASUREMENTS BY OBSERVING WAVEFORMS. DOC.

More information

Transistor Design & Analysis (Inverter)

Transistor Design & Analysis (Inverter) Experiment No. 1: DIGITAL ELECTRONIC CIRCUIT Transistor Design & Analysis (Inverter) APPARATUS: Transistor Resistors Connecting Wires Bread Board Dc Power Supply THEORY: Digital electronics circuits operate

More information

UNIT-I CIRCUIT CONFIGURATION FOR LINEAR

UNIT-I CIRCUIT CONFIGURATION FOR LINEAR UNIT-I CIRCUIT CONFIGURATION FOR LINEAR ICs 2 marks questions 1.Mention the advantages of integrated circuits. *Miniaturisation and hence increased equipment density. *Cost reduction due to batch processing.

More information

DMI COLLEGE OF ENGINEERING

DMI COLLEGE OF ENGINEERING DMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING EC8453 - LINEAR INTEGRATED CIRCUITS Question Bank (II-ECE) UNIT I BASICS OF OPERATIONAL AMPLIFIERS PART A 1.Mention the

More information

OPERATIONAL AMPLIFIER PREPARED BY, PROF. CHIRAG H. RAVAL ASSISTANT PROFESSOR NIRMA UNIVRSITY

OPERATIONAL AMPLIFIER PREPARED BY, PROF. CHIRAG H. RAVAL ASSISTANT PROFESSOR NIRMA UNIVRSITY OPERATIONAL AMPLIFIER PREPARED BY, PROF. CHIRAG H. RAVAL ASSISTANT PROFESSOR NIRMA UNIVRSITY INTRODUCTION Op-Amp means Operational Amplifier. Operational stands for mathematical operation like addition,

More information

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS EXPERIMENT : 4 TITLE : 555 TIMERS OUTCOME : Upon completion of this unit, the student should be able to: 1. gain experience with

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER V PHYSICS PAPER VI (A) ELECTRONIC PRINCIPLES AND APPLICATIONS UNIT I: SEMICONDUCTOR DEVICES

More information

QMP 7.1 D/F Channabasaveshwara Institute of Technology (An ISO 9001:2008 Certified Institution) NH 206 (B.H. Road), Gubbi, Tumkur Karnataka.

QMP 7.1 D/F Channabasaveshwara Institute of Technology (An ISO 9001:2008 Certified Institution) NH 206 (B.H. Road), Gubbi, Tumkur Karnataka. QMP 7.1 D/F Channabasaveshwara Institute of Technology (An ISO 9001:2008 Certified Institution) NH 206 (B.H. Road), Gubbi, Tumkur 572 216. Karnataka. Department of Electrical & Electronics Engineering

More information

Assignment 11. 1) Using the LM741 op-amp IC a circuit is designed as shown, then find the output waveform for an input of 5kHz

Assignment 11. 1) Using the LM741 op-amp IC a circuit is designed as shown, then find the output waveform for an input of 5kHz Assignment 11 1) Using the LM741 op-amp IC a circuit is designed as shown, then find the output waveform for an input of 5kHz Vo = 1 x R1Cf 0 Vin t dt, voltage output for the op amp integrator 0.1 m 1

More information

11. What is fall time (tf) in transistor? The time required for the collector current to fall from 90% to 10% of its DEPARTMENT OF ECE EC 6401 Electronic Circuits II UNIT-IV WAVE SHAPING AND MULTIVIBRATOR

More information

Multivibrators. Department of Electrical & Electronics Engineering, Amrita School of Engineering

Multivibrators. Department of Electrical & Electronics Engineering, Amrita School of Engineering Multivibrators Multivibrators Multivibrator is an electronic circuit that generates square, rectangular, pulse waveforms. Also called as nonlinear oscillators or function generators. Multivibrator is basically

More information

AC LAB ECE-D ecestudy.wordpress.com

AC LAB ECE-D ecestudy.wordpress.com PART B EXPERIMENT NO: 1 AIM: PULSE AMPLITUDE MODULATION (PAM) & DEMODULATION DATE: To study Pulse Amplitude modulation and demodulation process with relevant waveforms. APPARATUS: 1. Pulse amplitude modulation

More information

Lab 4 : Transistor Oscillators

Lab 4 : Transistor Oscillators Objective: Lab 4 : Transistor Oscillators In this lab, you will learn how to design and implement a colpitts oscillator. In part II you will implement a RC phase shift oscillator Hardware Required : Pre

More information

Practical Workbook Logic Design & Switching Theory

Practical Workbook Logic Design & Switching Theory Practical Workbook Logic Design & Switching Theory Name : Year : Batch : Roll No : Department: Second Edition Fall 2017-18 Dept. of Computer & Information Systems Engineering NED University of Engineering

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

EXPERIMENT NO 1 TRUTH TABLE (1)

EXPERIMENT NO 1 TRUTH TABLE (1) EPERIMENT NO AIM: To verify the Demorgan s theorems. APPARATUS REQUIRED: THEORY: Digital logic trainer and Patch cords. The digital signals are discrete in nature and can only assume one of the two values

More information

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications About the Tutorial Linear Integrated Circuits are solid state analog devices that can operate over a continuous range of input signals. Theoretically, they are characterized by an infinite number of operating

More information

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor Swami Ramanand Teerth Marathwada University, Nanded B. Sc. First Year Electronics Syllabus Semester system (To be implemented from Academic Year 2009-10) Name of the Theory marks Practical marks Periods

More information

Analog Circuits Part 3 Operational Amplifiers

Analog Circuits Part 3 Operational Amplifiers Introductory Medical Device Prototyping Analog Circuits Part 3 Operational Amplifiers, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Concepts to be Reviewed Operational

More information

Analog Circuit II Laboratory ( EC 409) EC 409 Analog Electronics Lab - II

Analog Circuit II Laboratory ( EC 409) EC 409 Analog Electronics Lab - II Analog Circuit II Laboratory ( EC 409) Subject Subject Title L T P Contact Credit Full Code Hours / Unit# Marks EC 409 Analog Electronics Lab - II 0 0 2 2 1 100 Course Outcomes:- After successful completion

More information

15EI305L-DESIGN PROJECT LAB MANUAL

15EI305L-DESIGN PROJECT LAB MANUAL 15EI305L-DESIGN PROJECT LAB MANUAL Department of Electronics and Instrumentation Engineering Faculty of Engineering and Technology Department of Electronics and Instrumentation Engineering SRM Institute

More information

PESIT BANGALORE SOUTH CAMPUS BASIC ELECTRONICS

PESIT BANGALORE SOUTH CAMPUS BASIC ELECTRONICS PESIT BANGALORE SOUTH CAMPUS QUESTION BANK BASIC ELECTRONICS Sub Code: 17ELN15 / 17ELN25 IA Marks: 20 Hrs/ Week: 04 Exam Marks: 80 Total Hours: 50 Exam Hours: 03 Name of Faculty: Mr. Udoshi Basavaraj Module

More information

THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB DEPARTMENT OF ELECTRICAL ENGINEERING

THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB DEPARTMENT OF ELECTRICAL ENGINEERING THIRD SEMESTER ELECTRONICS - II BASIC ELECTRICAL & ELECTRONICS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Prepared By: Checked By: Approved By: Engr. Saqib Riaz Engr. M.Nasim Khan Dr.Noman Jafri Lecturer

More information

EXPERIMENT 2.2 NON-LINEAR OP-AMP CIRCUITS

EXPERIMENT 2.2 NON-LINEAR OP-AMP CIRCUITS 2.16 EXPERIMENT 2.2 NONLINEAR OPAMP CIRCUITS 2.2.1 OBJECTIVE a. To study the operation of 741 opamp as comparator. b. To study the operation of active diode circuits (precisions circuits) using opamps,

More information

Objective: To study and verify the functionality of a) PN junction diode in forward bias. Sl.No. Name Quantity Name Quantity 1 Diode

Objective: To study and verify the functionality of a) PN junction diode in forward bias. Sl.No. Name Quantity Name Quantity 1 Diode Experiment No: 1 Diode Characteristics Objective: To study and verify the functionality of a) PN junction diode in forward bias Components/ Equipments Required: b) Point-Contact diode in reverse bias Components

More information

EE LINEAR INTEGRATED CIRCUITS & APPLICATIONS

EE LINEAR INTEGRATED CIRCUITS & APPLICATIONS UNITII CHARACTERISTICS OF OPAMP 1. What is an opamp? List its functions. The opamp is a multi terminal device, which internally is quite complex. It is a direct coupled high gain amplifier consisting of

More information

CHARACTERISTICS OF OPERATIONAL AMPLIFIERS - II

CHARACTERISTICS OF OPERATIONAL AMPLIFIERS - II CHARACTERISTICS OF OPERATIONAL AMPLIFIERS - II OBJECTIVE The purpose of the experiment is to examine non-ideal characteristics of an operational amplifier. The characteristics that are investigated include

More information

Dr.NNCE ECE/IVSEM LIC LAB-LM

Dr.NNCE ECE/IVSEM LIC LAB-LM EC2258 - LINEAR INTEGRATED CIRCUITS LABORATORY LABORATORY MANUAL FOR IV SEMESTER B.E (ECE) ACADEMIC YEAR(2013-2014) (FOR PRIVATE CIRCULATION ONLY) ANNA UNIVERSITY CHENNAI-600 025 (REGULATION 2008) DEPARTMENT

More information

Function Generator Using Op Amp Ic 741 Theory

Function Generator Using Op Amp Ic 741 Theory Function Generator Using Op Amp Ic 741 Theory Note: Op-Amps ua741, LM 301, LM311, LM 324 & AD 633 may be used To design an Inverting Amplifier for the given specifications using Op-Amp IC 741. THEORY:

More information

EE 3305 Lab I Revised July 18, 2003

EE 3305 Lab I Revised July 18, 2003 Operational Amplifiers Operational amplifiers are high-gain amplifiers with a similar general description typified by the most famous example, the LM741. The LM741 is used for many amplifier varieties

More information

Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai

Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai Dhanalakshmi College of Engineering Manimangalam, Tambaram, Chennai 601 301 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING III SEMESTER - R 2013 EC6311 ANALOG AND DIGITAL LABORATORY LABORATORY

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17445 21415 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Illustrate your answers with neat sketches wherever necessary. (3) Figures to the right indicate full marks. (4) Assume

More information

tyuiopasdfghjklzxcvbnmqwertyuiopas dfghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq

tyuiopasdfghjklzxcvbnmqwertyuiopas dfghjklzxcvbnmqwertyuiopasdfghjklzx cvbnmqwertyuiopasdfghjklzxcvbnmq qwertyuiopasdfghjklzxcvbnmqwertyui opasdfghjklzxcvbnmqwertyuiopasdfgh jklzxcvbnmqwertyuiopasdfghjklzxcvb nmqwertyuiopasdfghjklzxcvbnmqwer Instrumentation Device Components Semester 2 nd tyuiopasdfghjklzxcvbnmqwertyuiopas

More information

1) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz

1) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz ) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz Solution: a) Input is of constant amplitude of 2 V from 0 to 0. ms and 2 V from 0. ms to 0.2 ms. The output

More information

SUMMER 13 EXAMINATION Subject Code: Model Answer Page No: / N

SUMMER 13 EXAMINATION Subject Code: Model Answer Page No: / N Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER-16 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

WAVEFORM GENERATOR CIRCUITS USING OPERATIONAL AMPLIFIERS

WAVEFORM GENERATOR CIRCUITS USING OPERATIONAL AMPLIFIERS 15EEE287 Electronic Circuits & Simulation Lab - II Lab #8 WAVEFORM GENERATOR CIRCUITS USING OPERATIONAL AMPLIFIERS OBJECTIVE The purpose of the experiment is to design and construct circuits to generate

More information

EC0206 LINEAR INTEGRATED CIRCUITS

EC0206 LINEAR INTEGRATED CIRCUITS SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN Course Code : EC0206 Course Title : Linear Integrated Circuits Semester

More information

Let us consider the following block diagram of a feedback amplifier with input voltage feedback fraction,, be positive i.e. in phase.

Let us consider the following block diagram of a feedback amplifier with input voltage feedback fraction,, be positive i.e. in phase. P a g e 2 Contents 1) Oscillators 3 Sinusoidal Oscillators Phase Shift Oscillators 4 Wien Bridge Oscillators 4 Square Wave Generator 5 Triangular Wave Generator Using Square Wave Generator 6 Using Comparator

More information

LESSON PLAN. SUBJECT: LINEAR IC S AND APPLICATION NO OF HOURS: 52 FACULTY NAME: Mr. Lokesh.L, Hema. B DEPT: ECE. Portions to be covered

LESSON PLAN. SUBJECT: LINEAR IC S AND APPLICATION NO OF HOURS: 52 FACULTY NAME: Mr. Lokesh.L, Hema. B DEPT: ECE. Portions to be covered LESSON PLAN SUBJECT: LINEAR IC S AND APPLICATION SUB CODE: 15EC46 NO OF HOURS: 52 FACULTY NAME: Mr. Lokesh.L, Hema. B DEPT: ECE Class# Chapter title/reference literature Portions to be covered MODULE I

More information