Large-Signal Analysis of MOS Varactors in CMOS Gm LC VCOs

Size: px
Start display at page:

Download "Large-Signal Analysis of MOS Varactors in CMOS Gm LC VCOs"

Transcription

1 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST Large-Signal Analysis of MOS Varactors in CMOS Gm LC VCOs Ryan Lee Bunch, Member, IEEE, and Sanjay Raman, Member, IEEE Abstract MOS varactors are used extensively as tunable elements in the tank circuits of RF voltage-controlled oscillators (VCOs) based on submicrometer CMOS technologies. MOS varactor topologies include conventional D=S=B connected, inversion-mode (I-MOS), and accumulation-mode (A-MOS) structures. When incorporated into the VCO tank circuit, the large-signal swing of the VCO output oscillation modulates the varactor capacitance in time, resulting in a VCO tuning curve that deviates from the dc tuning curve of the particular varactor structure. This paper presents a detailed analysis of this large-signal effect. Simulated results are compared to measurements for an example 2.5-GHz complementary LC VCO using I-MOS varactors implemented in m CMOS technology. Index Terms CMOS integrated circuits, nonlinear circuits, oscillators, varactors. I. INTRODUCTION THE explosive growth in wireless communications has led to an increased demand for wireless products that are low-cost, low-power, and compact in size. Recently, there has been considerable interest in the use of CMOS technology to implement RF components such as low-noise amplifiers (LNAs), mixers, and voltage-controlled oscillators (VCOs) [1], [2]. The benefits include leveraging high-volume silicon CMOS fabrication capacity for RF products and the potential for achieving high-levels of RF/analog/digital integration, rapidly approaching single-chip system implementations [3]. VCOs are key components in frequency synthesizers for RF wireless applications. Differential approaches are typically required because these frequency sources are used to pump Gilbert-cell-type mixers. A standard approach for differential VCOs is the use of cross-coupled transistors to generate a negative resistance. The negative resistance generated by the cross-coupled pair should be sufficient to overcome the equivalent parallel resistance of the VCO tank circuit to generate the desired oscillation. These VCO circuits are known as LC-tank VCOs. In CMOS technologies, both cross-coupled nmos and cross-coupled pmos versions are realizable. A number of CMOS LC VCOs have been reported in the literature, e.g., [4] [8]. The complementary oscillator circuit is the result of using both pmos and nmos cross-coupled pairs in parallel Manuscript received March 28, 2002; revised April 17, This work was supported by the National Science Foundation under Award R. Bunch is with RF Micro Devices, Greensboro, NC USA ( rbunch@rfmd.com). S. Raman is with the Bradley Department of Electrical and Computer Engineering, Virginia Polytechnic Institute and State University, Blacksburg, VA USA ( sraman@vt.edu). Digital Object Identifier /JSSC Fig. 1. Simple CMOS complementary 0G oscillator. to generate the negative resistance. This topology has been demonstrated to have reduced noise upconversion properties [9]. Fig. 1 shows a simple CMOS complementary oscillator. The tank capacitance is some combination of fixed and tunable (varactor) capacitances. Because the same bias current flows through both the pmos and nmos devices, the negative resistance can be twice as large for the same power consumption. The bias current source, often referred to as the tail current source, provides the designer with a means to make the best compromise between phase noise and power dissipation. A number of complementary VCOs have been reported recently in the literature [10] [12]. There are a number of options available to RF designers for the realization of varactor tuning elements in silicon CMOS technology. First, there are standard pn-junction varactors; in an n-well CMOS process the p /n-well junction is the most suitable for varactor implementation. A number of recent monolithic CMOS LC oscillators designs have used such diodes as tank circuit tuning elements [4], [5], [8], [13]. A p /n-well structure can typically have a quality factor of 20 or better. One disadvantage of junction varactors is that they can become forward biased by large-amplitude voltage swings. Alternatively, MOS gate capacitors can be used to implement varactors. The capacitance of a MOS device varies nonlinearly as the dc gate bias of the MOSFET is varied through accumulation, depletion, and inversion. By making appropriate connec /03$ IEEE

2 1326 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 tions with the terminals of the MOSFET, the tuning characteristics of its capacitance can be changed. The specific MOS varactor topologies resulting from these connections and their corresponding characteristics are detailed in Section II. In either case, when varactors are incorporated into the VCO tank circuit, the large-signal swing of the VCO output oscillation modulates the varactor capacitance in time, resulting in a VCO tuning curve that deviates from the curve of the particular varactor device structure. This paper presents a detailed analysis of this large-signal effect. Simulated results are compared to measurements for an example 2.5-GHz complementary LC VCO employing MOS varactors implemented in m CMOS technology. II. MOS VARACTORS Different variations on the basic MOS structure have been explored in order to realize varactors with the highest possible quality factor. Andreani and Mattisson presented a thorough discussion of these different MOS varactors for RF VCOs in [14]. Fig. 2 shows the cross sections and corresponding characteristic for each of these structures. The characteristics are normalized to the same maximum capacitance. Each structure shown is similar to a pmos transistor situated in an n-well; pmos is preferred (in an n-well process) because the bulk terminal of an n-well can be biased at a variable voltage. The first structure [Fig. 2(a)] consists of a pmos transistor with the drain, source, and bulk connected together (denoted ) to form one node of the capacitor, and with the polysilicon gate as the other node. This structure has a capacitance that varies nonmonotonically, because the device can operate in inversion, depletion, and accumulation [14], [15]. Fig. 2(b) shows the dc tuning curve of this structure. The maximum capacitance in both inversion and accumulation is approximated by, which can be calculated from the device dimensions assuming a simple parallel plate capacitor (i.e. neglecting fringing effects). This curve also represents the capacitance versus voltage if a very small signal,, is superimposed onto the dc bias voltage. Another option is the inversion-mode MOS (I-MOS) capacitor [Fig. 2(c)]. Like the capacitor, the basic structure is identical to a pmos transistor. The drain and source are shorted together to form one capacitor terminal while the polysilicon gate forms the other. However, the bulk (n-well) of this structure is connected to the highest voltage available in the circuit,. Because the n-well connection of the device is always at a higher or equal potential with respect to the gate, the device can only operate in inversion. This yields the dc/small-signal characteristic shown in Fig. 2(d). This characteristic is nonlinear and near-monotonic, but the transition from to is very sharp. Although the dc characteristic of the I-MOS device suggests an extremely large (and effectively useless) tuning gain, as will be discussed below, the large-signal swing of a VCO output will result in an actual tuning curve that deviates significantly from this dc/small-signal characteristic. Finally, MOS capacitors may also be designed to operate in accumulation mode. Fig. 2(e) shows the structure of an accumulation-mode (A-MOS) capacitor. This structure departs somewhat from the standard pmos transistor, because it replaces the p diffusions of the drain and source with n regions. This suppresses the injection of minority carriers (holes) into the channel and prevents inversion. The use of n regions also obviates the need for n ohmic contacts to bias the n-well, so this structure can be smaller than the other MOS capacitors. Because this device works in accumulation and depletion only, the dc/small-signal capacitance characteristic shown in Fig. 2(f) results. The curve is still nonlinear, and also monotonic. A-MOS varactors have been shown to have very large to ratios compared to other structures [16]. III. LARGE-SIGNAL ANALYSIS OF MOS VARACTORS IN LC VCOs Now, if the signal voltage swing across these devices is large (as would be the case in a VCO tank circuit), then the instantaneous value of the capacitance changes throughout the signal period. The effective capacitance seen by the large signal will be a weighted average of the small-signal capacitance over a single period. Because of this averaging effect, the oscillator RF output frequency versus tuning voltage curve and the dc/smallsignal curve will not be equivalent. A similar qualitative discussion was recently presented in [11] for standard nmos and pmos gate varactor structures. An analysis of this largesignal averaging effect will now be presented. The meaning of large-signal average capacitance must first be defined. From nonlinear network theory, the current into a time-invariant voltage-controlled capacitance (i.e., the characteristic does not vary with time) is defined as [17] where is the dc curve as shown in Fig. 2. If it is assumed that the oscillator output voltage (which drops across the varactor) is nearly sinusoidal (a reasonable assumption for the complementary design [9]) at frequency, then the average capacitance can be expressed as where is the root mean square of the periodic signal with period. In this derivation, the current components at harmonics of are neglected; in reality, these higher harmonic currents will be present in the varactor, but ultimately only play a small role in determining the frequency of oscillation of the circuit. If a signal where represents the dc tuning voltage applied to the varactor and represents the sinusoidal voltage amplitude, is applied to the circuit, the current can be calculated using (1). The fundamental frequency rms current can be calculated by determining the Fourier series coefficient using (1) (2) (3) (4)

3 BUNCH AND RAMAN: LARGE-SIGNAL ANALYSIS OF MOS VARACTORS IN CMOS LC VCOs 1327 (a) (b) (c) (d) (e) (f) Fig. 2. MOS capacitor structures and C V curves. (a) D=S=B structure. (b) D=S=B C V curve. (c) Inversion-mode structure. (d) Inversion-mode C V curve. (e) Accumulation-mode structure. (f) Accumulation-mode C V curve. This equation is a mathematical way of discarding the higher order harmonics, yielding the fundamental rms current. Combining (1), (2), and (3) yields (5) where may be an expression fitted to the dc/smallsignal characteristic. Equation (5) can be integrated numerically. For the three MOS varactor types, the resulting versus tuning bias ( ) curves for voltage amplitudes ( ) of 0.1, 0.5, and 1.0 V are superimposed on the corresponding dc/smallsignal curves in Fig. 3. As can be seen, for the device

4 1328 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 (a) which is advantageous for phase-locked loop (PLL) applications (linear tuning gain). A similar analysis of accumulation-mode pmos devices was also presented recently in [18]. Finally, for the I-MOS device, the tuning curve [Fig. 3(c)] is also highly linear, and the linear region of the characteristic becomes wider as the amplitude becomes larger. The and I-MOS structures can both be modeled in a straightforward manner using existing BSIM3v3 models. On the other hand, A-MOS devices have historically not had model support. However, this is no longer the case for many advanced CMOS processes augmented for RF/mixed-mode applications. A further benefit of the inversion-mode structure is that its n-well connection is tied to rather than a tuning voltage, and therefore the device is less vulnerable to latch-up. On the other hand, A-MOS varactors can have larger tuning ranges if voltages larger than the standard supply are available to tune the varactors, have a more compact geometry (as mentioned above), and have been shown to possess somewhat higher values [14]. For the example design presented in the following section, I-MOS varactors were chosen due to the lack of A-MOS varactor models in the technology used at the time this project was undertaken. (b) (c) Fig. 3. MOS capacitor C V curves showing large-signal effects. (a) D=S= B. (b) A-MOS. (c) I-MOS. In each case, the heavy curve is the dc/small-signal curve, and the lighter curves are for voltage amplitudes A of 0.1, 0.5, and 1.0 V, respectively. [Fig. 3(a)], the effect of increasing the large-signal amplitude is to fill in the local minimum of Fig. 2(b), thereby significantly reducing the effective tuning range of an oscillator using this device in its tank circuit. For the A-MOS varactor, the tuning curve [Fig. 3(b)] does not suffer from the tuning range degradation seen in the varactor case due to the monoticity of the dc/small-signal curve. The tuning curve also becomes fairly linear over a large portion of the dc bias range, IV. EXAMPLE: I-MOS VARACTOR-TUNED m CMOS VCO IMPLEMENTATION A 2.5-GHz complementary LC VCO employing I-MOS varactors was implemented in TSMC m single-poly four-metal 3.3-V CMOS technology [12]. Active buffer circuits (basically a pair of CMOS inverters) are used to present a high impedance to the oscillator output. The capacitance of the inverters must be accounted for in the design of the tank circuit. The complete buffered VCO design is shown in Fig. 4. The transconductances of the devices, and, were chosen to be equal so that the dc voltage at each side of the tank is maintained at approximately. Biasing this node at allows the oscillator waveform to be very symmetric because the positive and negative halves of the waveform will have the same amount of headroom. The symmetry of this circuit topology has also been reported to result in a lower noise corner frequency, which is important for low close-in phase noise performance [9]. The MOS devices were implemented using the minimum gate length allowed in this process (0.35 m). In order to realize ms, m, and m were chosen for the pmos and nmos devices, respectively. The tuning capacitance for the complementary VCO design is implemented in a differential fashion by connecting two identical (single-ended) I-MOS varactors such that their source and drain nodes are tied together and to the tuning control voltage, and their body nodes are tied together and to, as shown in Fig. 4. The gate nodes of the two I-MOS devices connect in parallel with a differential inductor structure to form the overall tank circuit structure. Therefore, the total tank varactor capacitance is half the value of the individual varactors. If the circuit is properly balanced, connecting the tuning capacitors in series creates a virtual ground at the common node.

5 BUNCH AND RAMAN: LARGE-SIGNAL ANALYSIS OF MOS VARACTORS IN CMOS LC VCOs 1329 Fig. 5. Varactor C V test circuit. V is the dc varactor tuning voltage. The 1.65-V voltage at the gate represents the dc operating point of that node in the complementary 0G oscillator circuit (i.e., V =2). Fig. 4. Complete buffered VCO design schematic. When the additional parasitics of the oscillator circuit (tank circuit and actives) were considered, it was decided to design each varactor for a maximum capacitance of approximately 1 pf yielding a maximum total differential capacitance of 0.5 pf. The parasitics of the remainder of the circuit provide the other half of the tank capacitance. To a large extent, the layout geometry of a MOS capacitor determines its. The series resistance of an inversion-mode pmos varactor will include the combination of the gate resistance, the contacts to polysilicon and diffusion, and the resistance of the inverted channel. An expression for the series resistance of an accumulation-mode varactor is developed in [19]. Here this expression was modified slightly (, the n-well sheet resistivity, was replaced by, the inversionlayer sheet resistivity) to reflect its use in an inversion-mode device: where is set equal to the sheet resistance of the channel in the triode region and is the number of gate fingers. can be estimated from a dc sweep of the MOSFET in simulation. Equation (6) was used as a guideline to ensure that the design led to a reasonable. Because, (6) indicates that should be minimized to reduce the series resistance. For this reason, the process minimum channel length was utilized (0.35 m). The width of each channel was chosen to be 3.3 m, which represents a compromise between quality factor, varactor size, and parasitics. Each of the two single-ended varactors consists of four parallel devices with 40 gate fingers each (contacted on both ends of the channel). The entire structure is placed in a common n-well, and n ohmic bulk contacts connect the n-well to between each 40-finger section of the varactor. Using (6) for each varactor, was calculated to be Considering the total tank varactor, the total series resistance would be The total varactor capacitance varies from to pf. Assuming the tuning range extends from 2.3 to 2.8 GHz (as predicted by simulations), the of the varactor will vary from 19.5 to In this technology, the of the (6) tank circuit will be dominated by the of the integrated spiral inductors. The characteristic for one side of the tank circuit varactor was simulated using the test circuit shown in Fig. 5. The amplitude of the ac source represents the voltage swing across the tank circuit. The 1.65-V voltage at the gate represents the dc operating point of that node in the complementary oscillator circuit (i.e. ). The resulting dc/small-signal curve is shown in Fig. 6(a). Note the similarity to the heavy curve in Fig. 3(c). [Note that the latter plot does not account for the 1.65-V dc offset due to the dc operating point of the gate node in the complementary oscillator circuit (i.e., ).] This characteristic was then swept with the large-signal voltage waveform shown in Fig. 6(b), which is represented mathematically by (3) where V and V, resulting in the time-varying capacitance shown in Fig. 6(c). This shows that the nonlinear capacitance establishes the oscillator as a linear time-variant system, although the fundamental characteristic of the varactor is time invariant. Another way of thinking about this is that the I-MOS tank capacitance is pulsewidth modulated by the large signal swing across the dc characteristic; the width of the capacitance pulses will become wider (narrower) as the tuning voltage increases (decreases). Fig. 6(d) shows how the nonlinear capacitance results in a distorted current waveform. In this development, the choice of time scales does not impact the results; is ultimately determined by the amplitude of the large-signal voltage swing, and is effectively independent of the frequency of this waveform. Of course, in an oscillator circuit, the voltage waveform will tune to the frequency determined by the tank circuit and.as discussed above, Fig. 3(c) shows versus tuning voltage for various tank voltage amplitudes. The linearizing effect of the large-signal amplitude on the tuning curve is one reason why the varactor is a poor choice for a tuning element. Although the ratios of the and I-MOS varactors are similar, the nonmonotonicity of the device hampers its tuning range because its large-signal average capacitance never gets as low as. Fig. 7 shows the simulated tuning curves of two simple VCOs (e.g., Fig. 1) that have the same varactor dimensions, but with one implemented using and the other using I-MOS. The tuning curves are calculated from the tank circuit and. The simulated tuning range is shifted up from that of the design in Fig. 4 as this simulation does not fully

6 1330 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 (a) (b) Fig. 6. (c) (d) Time domain effect of nonlinear capacitance for one side of the implemented tank circuit varactor. (a) C(v). (b) v(t). (c) C(v(t)). (d) i(t) = C(v(t))((@v(t))=(@t)). account for circuit parasitics. In each case, the of the tank circuit is assumed to be high and the voltage amplitude at the varactor gate terminals is. Notice that the tuning range of the is only 0.14 GHz (5.3%) whereas the I-MOS has a tuning range of 0.6 GHz (20.7%). Furthermore, the maximum operating frequency of the oscillator is lower than the I-MOS oscillator. The simulated tuning curves display the same characteristics as those predicted by the large-signal modeling in Section III. V. MEASURED RESULTS The VCOs and associated test circuits were laid out on a single CMOS test chip m m fabricated in the TSMC m CMOS process. Fig. 8 shows a micrograph of a fabricated CMOS VCO with RF (GSSG) and dc probes in contact. The die area of the VCO circuit is m m, excluding the pads. For the measurements presented in this paper, the VCO chip was mounted and wirebonded into an Amkor 32-pin 4 mm 4 mm MLF2 package. The package was then mounted on a printed circuit test board to facilitate testing. The peak measured output power of the buffered oscillator is 2 3 dbm into a 50- load. The tuning curve of the VCO was measured by stepping the tuning voltage and measuring the resultant output frequency with the spectrum analyzer. These tuning curves were measured at the maximum tail bias current of 9 ma. Fig. 9 shows the tuning curve of the buffered VCO design. Note the close agreement with the shape of the tuning curve predicted by the large-signal modeling of the circuit in Section IV. The VCO demonstrates a linear tuning characteristic centered at approximately 2.45 GHz, and a tuning sensitivity of 207 MHz/V. The overall measured tuning range is 425 MHz (17%), although there appears to be additional tuning room above V. This compares favorably with the simulated tuning range of 21%. The deviation is due to higher than anticipated tank parasitic capacitance, largely contributed by the integrated inductor. This is supported by measurements of a standalone inductor test structure [20], which show a total differential inductance of nh at 2.5 GHz, but a self-resonant frequency of GHz versus over 10 GHz as predicted by full-wave EM simulations.

7 BUNCH AND RAMAN: LARGE-SIGNAL ANALYSIS OF MOS VARACTORS IN CMOS LC VCOs 1331 (a) Fig. 8. Micrograph of a fabricated CMOS VCO with probes in contact. The die area of the VCO circuit is 550 m m, excluding the pads. An RF GSSG probe is at the right and the dc probe is at the left. (b) Fig. 9. Measured buffered VCO tuning curve. Fig. 7. Simulated MOS capacitor VCO tuning curves. (a) D=S=BVCO tuning curve. (b) I-MOS VCO tuning curve. The VCO phase noise was measured with an Agilent E5500 phase noise measurement system, which is based on the frequency discriminator delay-line method. Phase noise was measured over the V range of. The best case phase noise was measured at V (2.67 GHz), yielding a value of dbc Hz at a 100/600-kHz offset. The oscillator core draws 9 ma; the buffer circuits draw an additional 7 ma. VI. CONCLUSION The effect of large-signal voltage swings across MOS varactor-tuned tank circuits in LC VCOs has been analyzed quantitatively. The large-signal swing of the VCO output oscillation modulates the varactor capacitance in time, resulting in a VCO tuning curve that deviates significantly from the dc/smallsignal tuning curve of the particular varactor structure. For the varactor, the effect of increasing the large-signal amplitude is to fill in the local minimum of characteristic, thereby significantly reducing the effective tuning range of an oscillator using this device in its tank circuit. For the A-MOS and I-MOS structures, the large-signal tuning curve does not suffer from the tuning range degradation seen in the varactor case due to the monoticity of the dc/small-signal curve, and becomes fairly linear over a large portion of the dc bias range, which is advantageous for PLL applications (linear tuning gain). Simulated results are compared to measurements for an example 2.5-GHz complementary LC VCO using I-MOS varactors implemented in m CMOS technology. The measured tuning characteristic agrees well with the tuning curves predicted by the large-signal analysis. ACKNOWLEDGMENT The authors would like to thank G. Studtman of M/A-COM, Roanoke, VA, for assistance with mounting the test chip die for on-wafer testing. They would also like to thank RF Microdevices, Greensboro, NC, for wirebonding, packaging, and providing the test boards for the packaged VCO measurements, and for providing access to state-of-the-art phase noise measurement equipment. REFERENCES [1] T. H. Lee, CMOS RF: No longer an oxymoron, in IEEE GaAs Integrated Circuit Symp. Dig., 1997, pp [2] T. Manku, Microwave CMOS: Device physics and design, IEEE J. Solid State Circuits, vol. 34, pp , Mar [3] A. Matsuzawa, RF-SoC: Expectations and required conditions, IEEE Trans. Microwave Theory Tech., vol. 50, pp , Jan

8 1332 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 [4] J. Craninckx and M. S. J. Steyaert, A 1.8-GHz low-phase-noise CMOS VCO using optimized hollow spiral inductors, IEEE J. Solid-State Circuits, vol. 32, pp , May [5] B. Razavi, A 1.8-GHz CMOS voltage-controlled oscillator, in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 1997, pp [6] B.-H. Park and P. E. Allen, Low-power, low-phase-noise CMOS voltage-controlled-oscillator with integrated LC resonator, in Proc. IEEE Int. Symp. Circuits and Systems, vol. 4, 1998, pp [7] F. Svelto, S. Deantoni, and R. Castello, A 1.3-GHz low-phase noise fully tunable CMOS LC VCO, IEEE J. Solid-State Circuits, vol. 35, pp , Mar [8] B. De Muer, M. Borremans, M. Steyaert, and G. Li Puma, A 2-GHz low-phase-noise integrated LC-VCO set with flicker-noise upconversion minimization, IEEE J. Solid-State Circuits, vol. 35, pp , July [9] A. Hajimiri and T. H. Lee, Design issues in CMOS differential LC oscillators, IEEE J. Solid-State Circuits, vol. 34, pp , May [10] D. Ham and A. Hajimiri, Concepts and methods in optimization of integrated LC VCOs, IEEE J. Solid State Circuits, vol. 36, pp , June [11] M. Tiebout, Low-power low-phase-noise differentially tuned quadrature VCO design in standard CMOS, IEEE J. Solid State Circuits, vol. 36, pp , Jul [12] R. Bunch and S. Raman, A 0.35-m CMOS 2.5-GHz complementary 0G VCO using pmos inversion-mode varactors, in IEEE RFIC Symp. Dig., May 2001, pp [13] P. Andreani, A comparison between two 1.8 GHz CMOS VCOs tuned by different varactors, in Proc. 22nd Eur. Solid-State Circuits Conf. (ESSCIRC), 1998, pp [14] P. Andreani and S. Mattisson, On the use of MOS varactors in RF VCOs, IEEE J. Solid State Circuits, vol. 35, pp , June [15] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. New York: Cambridge Univ. Press, [16] T. Soorapanth, C. P. Yue, D. K. Shaeffer, T. H. Lee, and S. S. Wong, Analysis and optimization of accumulation-mode varactor for RF ICs, in Symp. VLSI Circuits Dig. Technical Papers, June 1998, pp [17] L. O. Chua, Introduction to Nonlinear Network Theory. New York: McGraw-Hill, [18] S. Levantino, C. Samori, A. Bonifanti, S. L. J. Gierkink, A. L. Lacaita, and V. Boccuzzi, Frequency dependence on bias current in 5-GHz CMOS VCOs: Impact on tuning range and flicker noise upconversion, IEEE J. Solid-State Circuits, vol. 37, pp , Aug [19] C.-M. Hung, Y.-C. Ho, I.-C. Wu, and K. O, High-Q capacitors implemented in a CMOS process for low-power wireless applications, IEEE Trans. Microwave Theory Tech., vol. 46, pp , May [20] R. L. Bunch, D. I. Sanderson, and S. Raman, Quality factor and inductance in differential IC implementations, IEEE Microwave Mag., vol. 3, pp , June Ryan Lee Bunch (S 98 M 01) was born on May 25, 1977 in Suffolk, VA. He received the B.S. and M.S. degrees from Virginia Polytechnic Institute and State University (Virginia Tech), Blacksburg, in 1999 and 2001, respectively. During the summers of 1998 and 1999, he interned as an Analog Circuit Designer with IBM, Raleigh, NC. In August 1999, he returned to Virginia Tech to pursue graduate studies in electrical engineering. His research there focused on CMOS radio-frequency circuits. He is currently a Design Engineer with the Digital Cellular Products Line of RF Micro Devices, Greensboro, NC, where he specializes in CMOS analog/rf design for GSM/PCS applications. Sanjay Raman (S 84 M 98) was born on April 25, 1966 in Nottingham, U.K. He received the B.S.E.E. degree from the Georgia Institute of Technology, Atlanta, in 1987 and the M.S.E.E. and Ph.D. degrees from the University of Michigan, Ann Arbor, in 1993 and 1997, respectively. His Ph.D. work involved novel millimeter-wave integrated antennas and electronics. From 1987 to 1992, he served as a nuclear-trained Submarine Officer with the U.S. Navy. He joined the faculty of the Bradley Department of Electrical and Computer Engineering, Virginia Polytechnic Institute and State University, Blacksburg, in January 1998 as an Assistant Professor. He established the Wireless Microsystems Laboratory to explore enabling ideas and technologies for integrated microsystems, in particular microsystems that are connected to the information infrastructure via wireless communications links. His research interests include RF/microwave/millimeter-wave integrated circuits and antennas, integrated wireless communications and sensor microsystems, high-speed/mixed-signal ICs, interconnects, and packaging, RF MEMS and MEMS sensors, micromachining, and solid-state technology. Dr. Raman s academic honors include the Presidential Early Career Award for Scientists and Engineers (PECASE) for , the 2000 Virginia Tech College of Engineering Outstanding New Assistant Professor Award, First Place in the Student Paper Competition of the 1996 IEEE MTT-S International Microwave Symposium, the Armed Forces Communications and Electronics Association (AFCEA) Postgraduate Fellowship, and First Place in the Student Paper Competition of the 1995 IEEE Antennas and Propagation International Symposium.

WITH advancements in submicrometer CMOS technology,

WITH advancements in submicrometer CMOS technology, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 3, MARCH 2005 881 A Complementary Colpitts Oscillator in CMOS Technology Choong-Yul Cha, Member, IEEE, and Sang-Gug Lee, Member, IEEE

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

A 25-GHz Differential LC-VCO in 90-nm CMOS

A 25-GHz Differential LC-VCO in 90-nm CMOS A 25-GHz Differential LC-VCO in 90-nm CMOS Törmänen, Markus; Sjöland, Henrik Published in: Proc. 2008 IEEE Asia Pacific Conference on Circuits and Systems Published: 2008-01-01 Link to publication Citation

More information

Low Phase Noise Gm-Boosted Differential Gate-to-Source Feedback Colpitts CMOS VCO Jong-Phil Hong, Student Member, IEEE, and Sang-Gug Lee, Member, IEEE

Low Phase Noise Gm-Boosted Differential Gate-to-Source Feedback Colpitts CMOS VCO Jong-Phil Hong, Student Member, IEEE, and Sang-Gug Lee, Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 11, NOVEMBER 2009 3079 Low Phase Noise Gm-Boosted Differential Gate-to-Source Feedback Colpitts CMOS VCO Jong-Phil Hong, Student Member, IEEE, and Sang-Gug

More information

DEEP-SUBMICROMETER CMOS processes are attractive

DEEP-SUBMICROMETER CMOS processes are attractive IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 7, JULY 2011 1811 Gm-Boosted Differential Drain-to-Source Feedback Colpitts CMOS VCO Jong-Phil Hong and Sang-Gug Lee, Member, IEEE Abstract

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL IN CMOS TECHNOLOGY L. Majer, M. Tomáška,V. Stopjaková, V. Nagy, and P. Malošek Department of Microelectronics, Slovak Technical University, Ilkovičova 3, Bratislava,

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

ISSCC 2004 / SESSION 21/ 21.1

ISSCC 2004 / SESSION 21/ 21.1 ISSCC 2004 / SESSION 21/ 21.1 21.1 Circular-Geometry Oscillators R. Aparicio, A. Hajimiri California Institute of Technology, Pasadena, CA Demand for faster data rates in wireline and wireless markets

More information

SP 23.6: A 1.8GHz CMOS Voltage-Controlled Oscillator

SP 23.6: A 1.8GHz CMOS Voltage-Controlled Oscillator SP 23.6: A 1.8GHz CMOS Voltage-Controlled Oscillator Behzad Razavi University of California, Los Angeles, CA Formerly with Hewlett-Packard Laboratories, Palo Alto, CA This paper describes the factors that

More information

Keywords Divide by-4, Direct injection, Injection locked frequency divider (ILFD), Low voltage, Locking range.

Keywords Divide by-4, Direct injection, Injection locked frequency divider (ILFD), Low voltage, Locking range. Volume 6, Issue 4, April 2016 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of CMOS

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators 6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators Massachusetts Institute of Technology March 29, 2005 Copyright 2005 by Michael H. Perrott VCO Design for Narrowband

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

An 8mA, 3.8dB NF, 40dB Gain CMOS Front-End for GPS Applications

An 8mA, 3.8dB NF, 40dB Gain CMOS Front-End for GPS Applications An 8mA, 3.8dB NF, 40dB Gain CMOS Front-End for GPS Applications F. Svelto S. Deantoni, G. Montagna R. Castello Dipartimento di Ingegneria Studio di Microelettronica Dipartimento di Elettronica Università

More information

AVoltage Controlled Oscillator (VCO) was designed and

AVoltage Controlled Oscillator (VCO) was designed and 1 EECE 457 VCO Design Project Jason Khuu, Erik Wu Abstract This paper details the design and simulation of a Voltage Controlled Oscillator using a 0.13µm process. The final VCO design meets all specifications.

More information

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Mahdi Parvizi a), and Abdolreza Nabavi b) Microelectronics Laboratory, Tarbiat Modares University, Tehran

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Advanced Design Techniques for Integrated Voltage Controlled LC Oscillators

Advanced Design Techniques for Integrated Voltage Controlled LC Oscillators IEEE 007 Custom Intergrated Circuits Conference (CICC) Advanced Design Techniques for Integrated Voltage Controlled LC Oscillators Peter Kinget, Babak Soltanian, Songtao Xu, Shih-an Yu, and Frank Zhang

More information

Quiz2: Mixer and VCO Design

Quiz2: Mixer and VCO Design Quiz2: Mixer and VCO Design Fei Sun and Hao Zhong 1 Question1 - Mixer Design 1.1 Design Criteria According to the specifications described in the problem, we can get the design criteria for mixer design:

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

6.976 High Speed Communication Circuits and Systems Lecture 11 Voltage Controlled Oscillators

6.976 High Speed Communication Circuits and Systems Lecture 11 Voltage Controlled Oscillators 6.976 High Speed Communication Circuits and Systems Lecture 11 Voltage Controlled Oscillators Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott VCO Design for Wireless

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

THE rapid evolution of wireless communications has resulted

THE rapid evolution of wireless communications has resulted 368 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 2, FEBRUARY 2004 Brief Papers A 24-GHz CMOS Front-End Xiang Guan, Student Member, IEEE, and Ali Hajimiri, Member, IEEE Abstract This paper reports

More information

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology Ch. Anandini 1, Ram Kumar 2, F. A. Talukdar 3 1,2,3 Department of Electronics & Communication Engineering,

More information

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2 ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2 17.2 A CMOS Differential Noise-Shifting Colpitts VCO Roberto Aparicio, Ali Hajimiri California Institute of Technology, Pasadena, CA Demand for higher

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao Applied Mechanics and Materials Online: 2012-12-13 ISSN: 1662-7482, Vols. 256-259, pp 2373-2378 doi:10.4028/www.scientific.net/amm.256-259.2373 2013 Trans Tech Publications, Switzerland Ground-Adjustable

More information

Fully integrated CMOS transmitter design considerations

Fully integrated CMOS transmitter design considerations Semiconductor Technology Fully integrated CMOS transmitter design considerations Traditionally, multiple IC chips are needed to build transmitters (Tx) used in wireless communications. The difficulty with

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model 1040 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 6, JUNE 2003 Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model Chia-Hsin Wu, Student Member, IEEE, Chih-Chun Tang, and

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

A 5.5 GHz Voltage Control Oscillator (VCO) with a Differential Tunable Active and Passive Inductor

A 5.5 GHz Voltage Control Oscillator (VCO) with a Differential Tunable Active and Passive Inductor A. GHz Voltage Control Oscillator (VCO) with a Differential Tunable Active and Passive Inductor Najmeh Cheraghi Shirazi, Ebrahim Abiri, and Roozbeh Hamzehyan, ember, IACSIT Abstract By using a differential

More information

Design and Simulation of 5GHz Down-Conversion Self-Oscillating Mixer

Design and Simulation of 5GHz Down-Conversion Self-Oscillating Mixer Australian Journal of Basic and Applied Sciences, 5(12): 2595-2599, 2011 ISSN 1991-8178 Design and Simulation of 5GHz Down-Conversion Self-Oscillating Mixer 1 Alishir Moradikordalivand, 2 Sepideh Ebrahimi

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

WITH THE RAPID advance of high-frequency capability

WITH THE RAPID advance of high-frequency capability IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 6, JUNE 2006 1297 Millimeter-Wave Voltage-Controlled Oscillators in 0.13-m CMOS Technology Changhua Cao, Student Member, IEEE, and Kenneth K. O, Senior

More information

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16 320 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 2, FEBRUARY 2009 A 5-GHz CMOS Frequency Synthesizer With an Injection-Locked Frequency Divider and Differential Switched Capacitors

More information

Fully Integrated Low Phase Noise LC VCO. Desired Characteristics of VCOs

Fully Integrated Low Phase Noise LC VCO. Desired Characteristics of VCOs Fully Integrated ow Phase Noise C VCO AGENDA Comparison with other types of VCOs. Analysis of two common C VCO topologies. Design procedure for the cross-coupled C VCO. Phase noise reduction techniques.

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz CMOS VCO

A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz CMOS VCO 82 Journal of Marine Science and Technology, Vol. 21, No. 1, pp. 82-86 (213) DOI: 1.6119/JMST-11-123-1 A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz MOS VO Yao-hian Lin, Mei-Ling Yeh, and hung-heng hang

More information

MOS Varactors With n- and p-type Gates and Their Influence on an LC-VCO in Digital CMOS

MOS Varactors With n- and p-type Gates and Their Influence on an LC-VCO in Digital CMOS IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 1139 MOS Varactors With n- and p-type Gates and Their Influence on an LC-VCO in Digital CMOS Judith Maget, Marc Tiebout, Member, IEEE, and

More information

i. At the start-up of oscillation there is an excess negative resistance (-R)

i. At the start-up of oscillation there is an excess negative resistance (-R) OSCILLATORS Andrew Dearn * Introduction The designers of monolithic or integrated oscillators usually have the available process dictated to them by overall system requirements such as frequency of operation

More information

Noise Reduction in Transistor Oscillators: Part 3 Noise Shifting Techniques. cross-coupled. over other topolo-

Noise Reduction in Transistor Oscillators: Part 3 Noise Shifting Techniques. cross-coupled. over other topolo- From July 2005 High Frequency Electronics Copyright 2005 Summit Technical Media Noise Reduction in Transistor Oscillators: Part 3 Noise Shifting Techniques By Andrei Grebennikov M/A-COM Eurotec Figure

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

A Compact GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member, IEEE

A Compact GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member, IEEE IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 10, OCTOBER 2010 2575 A Compact 0.1 14-GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member,

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach 770 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach Anand Veeravalli, Student Member,

More information

ACTIVE phased-array antenna systems are receiving increased

ACTIVE phased-array antenna systems are receiving increased 294 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 1, JANUARY 2006 Ku-Band MMIC Phase Shifter Using a Parallel Resonator With 0.18-m CMOS Technology Dong-Woo Kang, Student Member, IEEE,

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

Miniature 3-D Inductors in Standard CMOS Process

Miniature 3-D Inductors in Standard CMOS Process IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 4, APRIL 2002 471 Miniature 3-D Inductors in Standard CMOS Process Chih-Chun Tang, Student Member, Chia-Hsin Wu, Student Member, and Shen-Iuan Liu, Member,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

A Low-Phase-Noise 5-GHz CMOS Quadrature VCO Using Superharmonic Coupling

A Low-Phase-Noise 5-GHz CMOS Quadrature VCO Using Superharmonic Coupling 1148 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Low-Phase-Noise 5-GHz CMOS Quadrature VCO Using Superharmonic Coupling Sander L. J. Gierkink, Salvatore Levantino, Member, IEEE, Robert

More information

Lecture 20: Passive Mixers

Lecture 20: Passive Mixers EECS 142 Lecture 20: Passive Mixers Prof. Ali M. Niknejad University of California, Berkeley Copyright c 2005 by Ali M. Niknejad A. M. Niknejad University of California, Berkeley EECS 142 Lecture 20 p.

More information

A GHz VCO using a new variable inductor for K band application

A GHz VCO using a new variable inductor for K band application Vol. 34, No. 12 Journal of Semiconductors December 2013 A 20 25.5 GHz VCO using a new variable for K band application Zhu Ning( 朱宁 ), Li Wei( 李巍 ), Li Ning( 李宁 ), and Ren Junyan( 任俊彦 ) State Key Laboratory

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

Design of the Low Phase Noise Voltage Controlled Oscillator with On-Chip Vs Off- Chip Passive Components.

Design of the Low Phase Noise Voltage Controlled Oscillator with On-Chip Vs Off- Chip Passive Components. 3 rd International Bhurban Conference on Applied Sciences and Technology, Bhurban, Pakistan. June 07-12, 2004 Design of the Low Phase Noise Voltage Controlled Oscillator with On-Chip Vs Off- Chip Passive

More information

FOR digital circuits, CMOS technology scaling yields an

FOR digital circuits, CMOS technology scaling yields an IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1259 A Low-Voltage Folded-Switching Mixer in 0.18-m CMOS Vojkan Vidojkovic, Johan van der Tang, Member, IEEE, Arjan Leeuwenburgh, and Arthur

More information

A 120 GHz Voltage Controlled Oscillator Integrated with 1/128 Frequency Divider Chain in 65 nm CMOS Technology

A 120 GHz Voltage Controlled Oscillator Integrated with 1/128 Frequency Divider Chain in 65 nm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.1, FEBRUARY, 2014 http://dx.doi.org/10.5573/jsts.2014.14.1.131 A 120 GHz Voltage Controlled Oscillator Integrated with 1/128 Frequency Divider

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

MULTIPHASE voltage-controlled oscillators (VCOs) are

MULTIPHASE voltage-controlled oscillators (VCOs) are 474 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 3, MARCH 2007 A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS Hsieh-Hung Hsieh, Student Member, IEEE,

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A 24-GHz Quadrature Receiver Front-end in 90-nm CMOS

A 24-GHz Quadrature Receiver Front-end in 90-nm CMOS A 24GHz Quadrature Receiver Frontend in 90nm CMOS Törmänen, Markus; Sjöland, Henrik Published in: Proc. 2009 IEEE Asia Pacific Microwave Conference Published: 20090101 Link to publication Citation for

More information

White Paper. A High Performance, GHz MMIC Frequency Multiplier with Low Input Drive Power and High Output Power. I.

White Paper. A High Performance, GHz MMIC Frequency Multiplier with Low Input Drive Power and High Output Power. I. A High Performance, 2-42 GHz MMIC Frequency Multiplier with Low Input Drive Power and High Output Power White Paper By: ushil Kumar and Henrik Morkner I. Introduction Frequency multipliers are essential

More information

CMOS Dual Band Receiver GSM 900-Mhz / DSS-GSM1800-GHz

CMOS Dual Band Receiver GSM 900-Mhz / DSS-GSM1800-GHz CMOS Dual Band Receiver GSM 900-Mhz / DSS-GSM1800-GHz By : Dhruvang Darji 46610334 Transistor integrated Circuit A Dual-Band Receiver implemented with a weaver architecture with two frequency stages operating

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

CONVENTIONAL phase-locked loops (PLL s) use frequency

CONVENTIONAL phase-locked loops (PLL s) use frequency IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 6, JUNE 1999 813 Superharmonic Injection-Locked Frequency Dividers Hamid R. Rategh, Student Member, IEEE, and Thomas H. Lee, Member, IEEE Abstract Injection-locked

More information

Glossary of VCO terms

Glossary of VCO terms Glossary of VCO terms VOLTAGE CONTROLLED OSCILLATOR (VCO): This is an oscillator designed so the output frequency can be changed by applying a voltage to its control port or tuning port. FREQUENCY TUNING

More information

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1 19-1673; Rev 0a; 4/02 EVALUATION KIT MANUAL AVAILABLE 45MHz to 650MHz, Integrated IF General Description The are compact, high-performance intermediate-frequency (IF) voltage-controlled oscillators (VCOs)

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Dr.-Ing. Ulrich L. Rohde

Dr.-Ing. Ulrich L. Rohde Dr.-Ing. Ulrich L. Rohde Noise in Oscillators with Active Inductors Presented to the Faculty 3 : Mechanical engineering, Electrical engineering and industrial engineering, Brandenburg University of Technology

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Armindo António Barão da Silva Pontes Abstract This paper presents the design and simulations of

More information

FREQUENCY synthesizers are critical building blocks in

FREQUENCY synthesizers are critical building blocks in 1222 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 Techniques for Phase Noise Suppression in Recirculating DLLs Sheng Ye, Member, IEEE, and Ian Galton, Member, IEEE Abstract This paper

More information

Design of VCOs in Global Foundries 28 nm HPP CMOS

Design of VCOs in Global Foundries 28 nm HPP CMOS Design of VCOs in Global Foundries 28 nm HPP CMOS Evan Jorgensen 33 rd Annual Microelectronics Conference Rochester Institute of Technology Department of Electrical and Microelectronic Engineering May

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Performance Evaluation of CMOS Varactors for Wireless RF Applications

Performance Evaluation of CMOS Varactors for Wireless RF Applications Performance Evaluation of MOS Varactors for Wireless RF Applications Erik Pedersen RIS roup, Aalborg University Maxon ellular Systems (Denmark) A/S Fredrik Bajers Vej 7-A6, 90 Aalborg East, Denmark Phone:

More information

Designing a fully integrated low noise Tunable-Q Active Inductor for RF applications

Designing a fully integrated low noise Tunable-Q Active Inductor for RF applications Designing a fully integrated low noise Tunable-Q Active Inductor for RF applications M. Ikram Malek, Suman Saini National Institute of technology, Kurukshetra Kurukshetra, India Abstract Many architectures

More information

Experiment Topic : FM Modulator

Experiment Topic : FM Modulator 7-1 Experiment Topic : FM Modulator 7.1: Curriculum Objectives 1. To understand the characteristics of varactor diodes. 2. To understand the operation theory of voltage controlled oscillator (VCO). 3.

More information

Design of Low-Phase-Noise CMOS Ring Oscillators

Design of Low-Phase-Noise CMOS Ring Oscillators 328 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 49, NO. 5, MAY 2002 Design of Low-Phase-Noise CMOS Ring Oscillators Liang Dai, Member, IEEE, and Ramesh Harjani,

More information

A 44.5 GHz differntially tuned VCO in 65nm bulk CMOS with 8% tuning range Cheema, H.M.; Mahmoudi, R.; Sanduleanu, M.A.T.; van Roermund, A.H.M.

A 44.5 GHz differntially tuned VCO in 65nm bulk CMOS with 8% tuning range Cheema, H.M.; Mahmoudi, R.; Sanduleanu, M.A.T.; van Roermund, A.H.M. A 44.5 GHz differntially tuned VCO in 65nm bulk with 8% tuning range Cheema, H.M.; Mahmoudi, R.; Sanduleanu, M.A.T.; van Roermund, A.H.M. Published in: Proceedings of the EEE Radio Frequency Integrated

More information

Design of Analog CMOS Integrated Circuits

Design of Analog CMOS Integrated Circuits Design of Analog CMOS Integrated Circuits Behzad Razavi Professor of Electrical Engineering University of California, Los Angeles H Boston Burr Ridge, IL Dubuque, IA Madison, WI New York San Francisco

More information

A 2GHz, 17% tuning range quadrature CMOS VCO with high figure of merit and 0.6 phase error

A 2GHz, 17% tuning range quadrature CMOS VCO with high figure of merit and 0.6 phase error Downloaded from orbit.dtu.dk on: Dec 17, 2017 A 2GHz, 17% tuning range quadrature CMOS VCO with high figure of merit and 0.6 phase error Andreani, Pietro Published in: Proceedings of the 28th European

More information

DIGITAL RF transceiver architectures increasingly require

DIGITAL RF transceiver architectures increasingly require 300 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 1, JANUARY 2008 A 600 W BAW-Tuned Quadrature VCO Using Source Degenerated Coupling Shailesh S. Rai, Student Member, IEEE, and Brian P. Otis, Member,

More information

A low noise amplifier with improved linearity and high gain

A low noise amplifier with improved linearity and high gain International Journal of Electronics and Computer Science Engineering 1188 Available Online at www.ijecse.org ISSN- 2277-1956 A low noise amplifier with improved linearity and high gain Ram Kumar, Jitendra

More information

THE rapid growth of portable wireless communication

THE rapid growth of portable wireless communication 1166 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 8, AUGUST 1997 A Class AB Monolithic Mixer for 900-MHz Applications Keng Leong Fong, Christopher Dennis Hull, and Robert G. Meyer, Fellow, IEEE Abstract

More information

An On-Chip Differential Inductor and Its Use to RF VCO for 2 GHz Applications

An On-Chip Differential Inductor and Its Use to RF VCO for 2 GHz Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL4, NO 2, JUNE, 2004 83 An On-Chip Differential Inductor and Its Use to RF VCO for 2 GHz Applications Je-Kwang Cho, Kyung-Suc Nah, and Byeong-Ha Park

More information